Monday, August 26, 2019

GlobalFoundries Files Patent Claims Against TSMC, Seeks to Ban Imports of Nvidia, Apple Chips

[tom´s Hardware, LINK] GlobalFoundries (GF) today announced that it filed lawsuits against Taiwan Semiconductor Manufacturing Company (TSMC) in the U.S. and Germany over the alleged infringement of 16 patents. The company said that it's looking to halt the import of processors made with the technologies and is seeking "significant damages from TSMC based on TSMC’s unlawful use of GF’s proprietary technology in its tens of billions of dollars of sales." Impacted companies include Nvidia and Apple.
 
 
 
In summary 20 defendants:

Foundry: Taiwan Semiconductor Manufacturing Company Ltd. (TSMC)
Fabless chip designers: Apple, Broadcom, Mediatek, nVidia, Qualcomm, Xilinx
Electronic component distributors: Avnet/EBV, Digi-key, Mouser
Consumer product: Arista, ASUS, BLU, Cisco, Google, HiSense, Lenovo, Motorola, TCL, OnePlus
 
GF Patents in the cases (16): The technologies at issue relate to the advanced semiconductor devices and methods of manufacturing those devices. See table below.

Accused Infringing Technologies (5): TSMC 7nm, 10nm, 12nm, 16nm , 28nm


Wednesday, August 21, 2019

Micron has started volume production of 10 nm-class DRAM (1z nm)

Micron announced on Thursday that it had started volume production of memory chips using its 3rd Generation 10 nm-class fabrication technology (also known as 1Z nm). The first DRAMs to be made using Micron’s 1Z nm process are 16 Gb monolithic DDR4 and LPDDR4X devices. 
The company claims that its 16 Gb DDR4 device consumes 40% less power than two 8 Gb DDR4 DRAMs (presumably at the same clocks). Meanwhile, Micron’s 16 Gb LPDDR4X ICs will bring an up to 10% power saving. One of the first products to use the company’s 16 Gb DDR4 devices will be high-capacity (e.g., 32 GB and higher) memory modules for desktops, notebooks, and workstations.
Source: Anandtech LINK
----------
By Abhishekkumar Thakur

Monday, August 19, 2019

TSMC share details of its roadmap up to 2023 including details on 5 nm

TSMC isn't hesitant to share extreme details of its roadmap up to 2023: TSMC has planned total six nodes and five packaging techniques.Post N7 node is TSMC’s N7P process, which is a DUV-based optimization of the former to deliver either a 7% performance improvement, or a 10% power efficiency gain.The N7+ is TSMC’s first fabrication process to use EUV for certain layers in the chip.

TSMC’s first true EUV implementation for the N7 is the fab’s N6 processing node which is design and IP compatible with the N7 and also provides an 18% density improvement over chips made by the N7+. N6 is scheduled for risk production in 2020. Finally, the N5 node will be twice as dense (171.3MTR/mm²) as the N7, with either 15% more performance or 30% less power consumption over the N7. Next, FEOL and MOL optimizations will lead to the N5P. The N5P will improve performance by 7% or power consumption by 15%.


Source:TSMC’s True EUV Lithography Will Be On N5 Node For 2x Transistor Density,  (LINK)


----------
By Abhishekkumar Thakur
 

Saturday, August 17, 2019

Globalfoundries Sells Off Photomask Assets to Toppan - tools and technology to transfer to AMTC in Dresden Germany

Following its decision to focus on specialized process technologies last August, Globalfoundries has been consolidating its assets as well as selling off businesses and facilities that are not essential for its current strategy. To that end, this week the company announced that it had entered into an agreement to sell off its Fab 9's photomask operations and IP to Toppan Photomasks. The latter will, in turn, provide photomask production services to GlobalFoundries in the coming years. 
 
Under the terms of the agreement, Toppan Photomasks, a division of Toppan Printing Co., will acquire certain assets of Globalfoundries' photomask facility in Burlington, Vermont. The tools and technologies from the Fab 9 will be transferred to Advanced Mask Technology Center (AMTC), a joint venture between Toppan Photomasks and Globalfoundries in Dresden, Germany.
 
Source: AnandTech LINK
 

Friday, August 9, 2019

Lam Research Adds Global Wafer Stress Management Solutions to Portfolio to 3D NAND Scaling

FREMONT, Calif., Aug. 07, 2019 (GLOBE NEWSWIRE) — Lam Research Corp. (Nasdaq: LRCX) today announced new solutions to help customers increase chip memory density, which is needed for applications such as artificial intelligence and machine learning. With the introduction of VECTOR® DT for backside deposition and EOS® GS wet etch for film removal on backside and bevel, Lam continues the expansion of its stress management product portfolio.
 
 
While high aspect ratio deposition and etching are key enablers for 3D NAND scaling, the combination of increasing the number of layers while controlling wafer bow due to cumulative stress in the film stack has become a major challenge. Such stress-induced wafer distortion has a significant impact on wafer yield due to degraded lithography depth-of-focus, overlay performance, and structural distortion. To improve overall yield, wafer-, die-, and feature-level stresses need to be carefully managed at various steps throughout the entire manufacturing process flow, at times potentially resulting in the preclusion of otherwise performance-enhancing process steps due to their stress characteristics.

Designed to provide a cost-effective solution for controlling wafer bow in 3D NAND manufacturing, the VECTOR DT system is the newest addition to Lam’s plasma-enhanced chemical vapor deposition (PECVD) product family. VECTOR DT provides a single-step solution for wafer shape management by depositing a tunable counter-stress film on the back of the wafer without contacting the front side, thereby enabling improved lithography results, reduced bow-induced failures, and integration of high performance but highly stressed films. With strong customer adoption since its debut, the VECTOR DT installed base continues to grow as customers are transitioning to more than 96 layers.

In addition to depositing a counter stress film, Lam provides the flexibility to remove backside films, allowing customers to adjust wafer stress during the 3D NAND manufacturing flow. Lam’s EOS GS wet etch product complements the VECTOR DT by simultaneously removing backside and bevel films with industry-leading wet etch uniformity, while fully protecting the wafer front side. As part of a comprehensive wafer bow management solution, Lam’s EOS GS has also been adopted by memory manufacturers worldwide.

“As our customers continue to dramatically increase the number of memory cell layers, the cumulative stress and wafer bow can exceed the limits of a lithography tool. Minimizing stress-induced distortion is critical for achieving the desired yield and enabling the cost-per-bit roadmap,” said Sesha Varadarajan, senior vice president and general manager of the deposition product group at Lam Research. “With the addition of the VECTOR DT and EOS GS systems, we are expanding our stress management solutions portfolio for managing global stress in support of our customers’ vertical scaling roadmap.”
 
Source: Lam Research LINK

Thursday, August 8, 2019

Oxford PV Places First Equipment Order with Meyer Burger

Oxford PV – The Perovskite Company, the leader in the field of perovskite solar cells, announced it has placed an order with Meyer Burger, for a turnkey 100 MW silicon heterojunction solar cell line.

This order will shortly be followed by a supplementary order with Meyer Burger, for the perovskite top cell production equipment, which will be fully integrated with the purchased 100 MW silicon heterojunction solar cell line.

Oxford PV’s phased ordering of equipment will continue over the coming months. This will include the order of a second silicon heterojunction solar cell line and associated perovskite top cell production equipment. 

 
All equipment purchased from Meyer Burger will be fully integrated and installed at Oxford PV’s industrial site in Brandenburg an der Havel, Germany. The complete 250 MW production line will commence perovskite-on-silicon tandem solar cell production at the end of 2020.

Frank P. Averdung, Chief Executive Officer at Oxford PV commented, “With our first order placed, we are well on our journey to becoming the world’s first perovskite-on-silicon tandem solar cell manufacturer. We are delighted to be working with Meyer Burger - a world leading photovoltaic equipment and technology supplier. Building on Meyer Burger’s expertise, we are accelerating the time to market for our perovskite-on-silicon tandem solar cells."

Oxford PV announced in July 2019, that it had raised £65 million in its Series D funding round. This followed the company’s announced plans in March 2019, to move into volume production when it signed a collaboration agreement with Meyer Burger.

Oxford PV | http://www.oxfordpv.com
 
Source: North American Clen Energy LINK

Wednesday, August 7, 2019

ASM International NV 2019 Q2 Results - Earnings Call

ASM International N.V. (Euronext Amsterdam: ASM) today reports its second quarter 2019 operating results (unaudited) in accordance with IFRS.

• New orders were €373 million. Excluding €103 million related to the patent litigation settlement new orders were €270 million.

• Net sales for the second quarter 2019 were €363 million. Excluding €103 million related to the patent litigation settlement, net sales were €260 million and increased 5% compared to the previous quarter.

• Gross profit margin was 59.0% in Q2 2019 and 42.8% excluding the patent litigation settlement compared to 41.3% in the previous quarter.

• Operating result increased to €150 million. Excluding the patent litigation settlement operating result was stable at €47 million compared to the previous quarter.

• Normalized net earnings, including the patent litigation settlement, for the second quarter 2019 increased by €72 million compared to Q1 2019. Besides the positive impact of the patent litigation settlement net earnings in Q2 were negatively impacted by adverse currency effects and the increase in taxes due to the full utilization of the remaining net operating losses in the Netherlands. Results from investments decreased to €2 million.


ASM INTERNATIONAL N.V. REPORTS SECOND QUARTER 2019 RESULTS

"Logic bookings increased compare to Q1 and were primarily driven by 10 nanometer related demand and early tools for 7nm. Foundry orders decreased so much compared to the record high level in Q1 and primarily reflected its further investments into 5 nm node. Memory orders during the second quarter increased compared to a low level in Q1 mainly driven by DRAM, The increased DRAM bookings during the quarter were largely related to specific customer demands, and in our view not indicative of a broad base recovery in spending in this segment. 
 
Looking at the bookings by product line, while ALD was again our largest product line, we also experienced healthy demand in for instance LPCVD and Epi business in the quarter. In terms of product lines ALD continues to be a solid driver for our company. 
 
The long-term outlook remains strong. The current most advanced nodes 10-nanometer in logic and 5-nanometer in foundry have been a major inflection in terms of ALD needs, driven by further miniaturization, new materials, and by new more complex device architecture, that are on the industry's roadmap, the need for additional ALD applications at future nodes will only further increase. This will support continuing healthy growth in these segments of the ALD market over the longer-term. 
 
Our focus in the memory segments of the ALD market remains the expansion of our swift available market, or so called SAM. We continue to invest in broadening our portfolio of ALD applications for future DRAM and 3D NAND device technology. In 3D NAND for instance as the industry moves to higher stacks of the 96 layers, 128 layers and beyond, the increasing device complexity and high aspect ratio structures will stimulate the needs for a higher number of single wafer ALD applications . We are targeting to increase our SAM and our share of the memory market step-by-step, as customers transition to next generation devices over the next years."

-CEO Charles del Prado

ASM International NV's (ASMIY) CEO Charles del Prado on Q2 2019 Results - Earnings Call Transcript by Seeking Alpha | LINK

Investor presentation Q2 2019 | LINK

Analyts reports:

ASM International: Strong Headwinds We Didn't Hear In Conference Call
Seeking Alpha: ASM International NV (OTCQX:ASMIY) reported 2Q earnings on July 23, 2019. According to financial disclosures, net sales for the second ...