Wednesday, June 28, 2017

German Cartel Office sides with ASML


ASML has obtained all necessary regulatory approvals to complete the acquisition of a 24.9 percent minority stake in Carl Zeiss SMT.

Source: Evertiq LINK

Entegris Increases Manufacturing Capacity For High-Performance Materials

BILLERICA, Mass., June 28, 2017 /PRNewswire/ -- Entegris, Inc. (NASDAQ: ENTG), a leader in specialty chemicals and advanced materials solutions for the microelectronics and other industries, announced today that Poco Graphite, a wholly-owned subsidiary of Entegris, Inc., is expanding its capacity to produce graphite material and specialty coatings for semiconductor and high-performance industrial applications by securing the use of the former small diameter graphite electrode factory of Superior Graphite Co. in Russellville, Arkansas. As part of the agreement, Poco Graphite will have access to the assets of the facility, as well as the current workforce.
 

Monday, June 26, 2017

Umicore inaugurates new production facility in Germany

Umicore reports: Umicore’s business unit Precious Metals Chemistry inaugurated today its innovative production unit for advanced metal organic precursor technologies used in the semiconductor and LED markets, respectively TMGa (Trimethylgallium) and TEGa (Triethylgallium). The event was attended by European and overseas customers as well as local and regional politicians. The guest of honour was Dr. Barbara Hendricks, Germanys Federal Minister for the Environment, Nature Conservation, Building and Nuclear Safety.
 
 
Umicore TMGa (Trimethylgallium) and TEGa (Triethylgallium) facility in Hanau, Germany (photos supplied by Umicore).
 
Umicore’s TMGa manufacturing process is innovative and unique. It offers a more sustainable and ecological production method by minimizing hazardous side streams and material losses and optimizing yield to nearly 100%. This makes it superior to all existing manufacturing methods in the industry.
 

Umicore TMGa (Trimethylgallium) and TEGa (Triethylgallium) facility in Hanau, Germany (photos supplied by Umicore).
 
Dr Lothar Mussmann, Vice-President of Umicore Precious Metals Chemistry said “I am proud that this patented innovation has now become a world-class and industrial scale manufacturing plant. It will provide benefits for our customers and the environment and underlines Umicore’s position as a pioneer in sustainable technologies.”
 
 
Umicore TMGa (Trimethylgallium) and TEGa (Triethylgallium) facility in Hanau, Germany (photos supplied by Umicore). 

Umicore Precious Metals Chemistry is the only European manufacturer of TMGa and TEGa and supplies customers across the world from its Hanau manufacturing base. Umicore Precious Metals Chemistry helps to reduce cost of ownership through its innovative approach to process chemistry and its collaborative approach with customers and end users.

Sunday, June 25, 2017

TECHCET Advanced Dielectric Precursors CMR™ – Just Released!

The Dielectric Precursors Critical Materials Report (CMR) provide detailed market, supply chain and technology trend information required for anyone developing strategy for their business, be it a materials supplier or semiconductor chip manufacturer. Precursor types include, gapfill, ILD, low K, and multi-patterning. The report is written by Jonas Sundqvist and edited by Ed Korczynski.



Full table of contents : LINK

TECHCET Reports can be Included with CMC Membership – Click Here for Info!

 

Thursday, June 22, 2017

Yole Développment is releaseing the Emerging Non-Volatile Memory 2017 June 28

Yole Développment: The key emerging non-volatile technologies like phase-change memory (PCM), magnetoresistive random access memory (MRAM) and resistive random access memory (RRAM) have long development histories. Yet, their adoption remains restricted to niche markets due to various factors. Available products have limited density, and the introduction of high density products by emerging NVM pioneers has been delayed. There are manufacturing challenges due to the introduction of new materials and process steps. Meanwhile, mainstream memory technologies are continuously improving in terms of density and cost. Finally, there has been an absence of a killer application that would challenge dynamic random access memory (DRAM) and NAND flash memory. [read further, LINK] - Thanks to Terry Francis for sharing this one!

 Time to market for differen memory technologies  (Yole Développment, LINK)

Wednesday, June 21, 2017

ASML in Chinese training initiative for semiconductor growth


ASML, one of the worlds largest equipment suppliers to computer chipmakers, will team up with a public Chinese research consortium to open an education centre in Shanghai, it said on Wednesday.ASML and the Shanghai Integrated Circuit Research and Development Center plan to equip an existing clean room in Shanghai with ASML equipment to train a larger workforce capable of servicing ASMLs lithography systems in existing and new fabrication plants.Shanghai is centrally located for Chinas chip industry. The move is in line with Chinese ambitions to expand semiconductor manufacturing capacity significantly under its current five-year economic development plan.

Source: Reuters LINK

Tuesday, June 20, 2017

SK Hynix's Consortium as Preferred Bidder for Toshiba chip business

SEOUL, June 21 (Korea Bizwire) - A global consortium including South Korea's SK hyinx Inc. was tapped as the preferred bidder for the sale of the memory arm of Japanese tech giant Toshiba Corp., industry sources said Wednesday. Toshiba earlier put its stake in its memory operations up for sale as it struggles with losses from its nuclear power business in the United States. The consortium, also including Japanese players and U.S. Bain Capital, [...]The post Toshiba Taps SK Hynix's Consortium as Preferred Bi...

Source: The Korea Bizwire LINK

Beneq in 2016 - A Successful Strategic Transformation

Beneq’s financial figures from 2016 show growth, encouraging signs of transformation, and positive development in industrial ALD solutions. Beneq corporation turnover grew to 22,2 million euros in 2016. And Beneq is a truly global company: 98% of the company turnover came from outside Finland.
 
 
The year 2016 was a transformation year for Beneq in many ways. In Thin Film Solutions, there was a shift from traditional ALD research equipment towards large-scale industrial ALD equipment and thin film services – a trend which has continued during 2017. The equipment business order flow in the first quarter of 2017 was 70% higher than during Q1/2016 with several new industrial ALD customers and repeat orders from customers who are expanding ALD-based industrial production.
 
For the Thin Film Solutions business unit, major development areas are industrial ALD equipment and continuous large-area ALD processes based on Beneq’s pioneering Spatial ALD technology, which allows fast low-cost processing of materials in industries that require extremely precise coatings and high capacity, such as OLED and flexible electronics markets.
 

Monday, June 19, 2017

BASF boosts precious metals recycling capabilities

Company completes installation of equipment at Seneca, South Carolina, plant.


ISELIN, NJ, June 1, 2017 – BASF has completed the installation and start-up of new high-performance equipment at its Seneca, South Carolina operation, which will more than double the precious metals milling and sampling production capacity there.

The Seneca site serves as BASF’s global production hub for the recycling of end-of-life automotive and chemical catalysts, allowing for the efficient recovery and recycling of platinum group metals (PGMs.)

 
An operator at the Seneca, South Carolina, site recycles precious metals from a scrapped catalytic convertor. The site serves as BASF’s global production hub for the recycling of end-of-life automotive and chemical catalysts, allowing for the efficient recovery and recycling of the precious metals contained inside. This creates a sustainable secondary supply source for such limited global resources. (Credit: BASF press photo)

TECHCET ALD/CVD High-k & Metal Precursors CMR™ – Just Released!

The TECHCET ALD/CVD High-k & Metal Precursors Critical Materials Report provides information on the applications, IP-filing and markets associated with front end and back end of line precursors used to produce high-k dielectrics, metals, meatl oxides and nitrides by atomic layer deposition (ALD) and chemical vapor depsotion (CVD) metal oxides and nitrides. The report is written by Jonas Sundqvist and edited by Lita Shon-Roy.


Full table of contents : LINK

TECHCET Reports can be Included with CMC Membership – Click Here for Info!

 

Saturday, June 17, 2017

Nanya sells partial stake in Micron

Taiwan-based DRAM chipmaker Nanya Technology has announced the sale of part of its stake in US-based Micron Technology, with an aim to increase the company's working capital and repay loans.

Source: DIGITIMES LINK
 
 

Friday, June 16, 2017

Samsung Display seeks final approval for its $7 billion A4 flexible OLED fab

Samsung Display seeks final approval for its $7 billion A4 flexible OLED fab, which will start making flexible OLEDs in 2019

Source: OLED-Info LINK

Thursday, June 15, 2017

Applied Materials will be presenting processing for flexible electronics at 2017FLEX

Applied Materials speakers to highlight technologies moving flexible electronics from a vision to a reality at 2017FLEX.

On Tuesday, June 20 at 8:00 am PDT, Dr. Brian Shieh, VP and GM of Applied’s Display and Flexible Technology group will deliver a keynote talk on technology inflections in the display industry. In keeping with the conference theme, “Flexible Electronics – Accelerating to Manufacturing,” Brian will focus on the process technologies that are critical to moving flexible displays from a vision to a reality.

On Tuesday afternoon at 2:15 pm PDT, Mani Thothadri, senior director of New Business and Strategic Initiatives in the Display and Flexible Technology group will discuss R2R processing inflections for the display and IoT industries. Mani will explore how creating flexible form factors for next-generation consumer electronic devices requires the use of polymeric films. Most of these polymeric materials are manufactured in the form of a roll, resulting in the increased utilization of R2R processing. He will also discuss how Applied is developing R2R technologies for next-generation, large-area flexible electronics applications.
 
Source: Applied Materials Blog LINK

More information can be found in this flyer from Applied Materials Flexible Electronics Brochure(5.0MB)


 Applied Materials Web Product Portfolio (Source: Applied Materials)

Wednesday, June 14, 2017

Robert Bosch to invest 1 billion euros in Dresden semiconductor plant


FRANKFURT (Reuters) - Robert Bosch, is investing 1 billion euros ($1.12 billion) in a semiconductor plant in Germany, a company source told Reuters, highlighting the world's largest car parts supplier's ambitions in self-drive cars and the industrial Internet.

Source: Reuters LINK


Picture Credit : Bosch

Bosch plans €1 billion investment in German chip production

evertiq.com-21 hours ago
Bosch plans €1 billion investment in German chip production ... to make a record investment into a semiconductor plant in Dresden, Germany.

Bosch to build new semiconductor fab in Dresden

eeNews Europe-14 hours ago
The news was spread by a Dresden local newspaper. Bosch so far did not issue a press release, and the company's press office was closed for ...

Bosch Goes Big on German Chip Production

Handelsblatt Global Edition (subscription)-14 Jun 2017
The world's largest car-parts maker is set to invest a record sum into a semi-conductor plant in Dresden, German media reports. The move ...

SK Hynix joins last-minute bid for Toshiba


TOKYO/SEOUL (Reuters) - South Korea's SK Hynix Inc has joined a last-minute bid for Toshiba Corp's semiconductor business, countering a $20 billion offer from U.S. chipmaker Broadcom Ltd , people familiar with the matter said on Wednesday.
Source: Reuters LINK

Tuesday, June 13, 2017

GLOBALFOUNDRIES on Track to Deliver Leading-Performance 7nm FinFET Technology

Santa Clara, Calif., June 13, 2017 – GLOBALFOUNDRIES today announced the availability of its 7nm Leading-Performance (7LP) FinFET semiconductor technology, delivering a 40 percent generational performance boost to meet the needs of applications such as premium mobile processors, cloud servers and networking infrastructure. Design kits are available now, and the first customer products based on 7LP are expected to launch in the first half of 2018, with volume production ramping in the second half of 2018.

Globalfoundries Fab8 in NY, USA
 
In September 2016, GF announced plans to develop its own 7nm FinFET technology leveraging the company’s unmatched heritage of manufacturing high-performance chips. Thanks to additional improvements at both the transistor and process levels, the 7LP technology is exceeding initial performance targets and expected to deliver greater than 40 percent more processing power and twice the area scaling than the previous 14nm FinFET technology. The technology is now ready for customer designs at the company’s leading-edge Fab 8 facility in Saratoga County, N.Y.

Semi reports a historic highs in fab spending for 2017 and 2018

Semi reports a historic highs in fab spending for 2017 and 2018 equipment spending alone: US$49 billion in 2017 and $54 billion in 2018.

Source: Semi LINK

Learn more about the SEMI fab databases at:
www.semi.org/en/MarketInfo/FabDatabase

Linde and Praxair confirm merger

Gas companies Linde AG and Praxair Inc have confirmed that the companies will come together under a new holding company through an all-stock merger of equals transaction. Linde and Praxair expect the transaction to close in the second half of 2018, subject to customary closing conditions.The companies say that the value of the merger is driven by approximately US$1.2 billion  in annual synergies and cost reductions with combined pro forma revenues of approximately US$29 billion (?27 billion) i...

Source: Materials Today LINK

BB&T Securities LLC Has $430,000 Position in Versum Materials Inc.

BB&T Securities LLC decreased its position in shares of Versum Materials Inc. (NYSE:VSM) by 43.5% during the first quarter, according to its most recent 13F filing with the Securities and Exchange Commission. The firm owned 14,055 shares of the basic materials company's stock after selling 10,802 shares during the period. BB&T Securities LLC's holdings in [...]

Source: Zolmax News LINK

Qualcomm reportedly dropped Samsung to work with TSMC on new 7nm Snapdragon platform

Qualcomm reportedly dropped Samsung to work with TSMC on new 7nm Snapdragon platform. Qualcomm's breakup with the Korean electronics giant marks the second major partner loss for Samsung in the past few months.

Source: Malaysia HardwareZone LINK

Monday, June 12, 2017

Atomic Layer Deposition Market Set to Cross USD 5 Billion by 2022 at a CAGR of 31.27%

According to the new report, “Atomic Layer Deposition Market - By Type (Equipment & Materials); By Application (Gate Dielectrics, Gate Electrodes, Metal Interconnects, Diffusion Barriers, Memory Chips, Multilayer Capacitors, OLED Layers, Solar Cells, Fuel Cells, MEMS and Others); By Geography – Forecast (2016-2022)”, published by IndustryARC, the atomic layer deposition market to cross USD 5 Billion by 2022 at a high CAGR.

Atomic Layer Deposition in increasingly being used in manufacturing of electronic products where thickness of the film is absolutely imperative such as; smart phones, printers, data storage devices, displays, different types of small electronic components and many others products. It is mainly responsible for semiconductor fabrication and nanomaterial synthesis. Growing application of thin film coatings is the major driving factor for atomic layer deposition market. Through atomic layer deposition, ultra-thin films can be created in a sequential and self-limiting way depending on the material or product, which needs the layer to be applied on. Atomic layer Deposition process is especially favored because of its ability to control the film thickness in nanometer thickness regime. Atomic layer deposition is a perfect deposition method for applications where the surface area of the base material is very small.

According to a recent study from IndustryARC the global market value of atomic layer deposition was $910 million in 2015. Atomic layer deposition instruments are expensive as compared to conventional techniques such as MOCVD and PVD, consequently the equipment used for atomic layer deposition accounted for more than 60% of the global market revenue share.

Inquiry before Buying Report @ http://www.industryarc.com/inquiry-before-buying.php?id=15340

Thursday, June 8, 2017

TSMC orders equipment for >USD100 million

DIGITIMES Reports that TSMC (June 5, 2017) disclosed a total of about NT$3.09 billion (US$102.8 million) spent on machinery equipment from ASML, NuFlare Technology and Applied Materials. In two separate purchases of machinery equipment since June for a total of NT$1.12 billion from Lam Research International and Tokyo Electron.

Previously in May, according to DIGITIMES, TSMC purchased equipment and facilities for a total of about NT$11.3 billion including NT$2.23 billion worth of equipment for TSMC (Nanjing) from Advanced Ion Beam Technology, Applied Materials, ASML, Daifuku, Delta Electronics, Murata Machinery, Renchong Interior Decoration (Shanghai), Screen Semiconductor Solutions, Tokyo Electron and TEL FSI.

Source: LINK, DIGITIMES

Tuesday, June 6, 2017

SEMI reports record Q1/2017 spending for Semiconductor Equipment in Korea, Japan and China

Today SEMI reported that worldwide semiconductor manufacturing equipment billings reached US$13.1 billion for the first quarter of 2017. That is a very strong quarter with the month of March reaching an all time monthly record at $5.6 billion. Not since 2000 the quarterly billing has been this high. Most interestingly, South Korea has replaced Taiwan as the largest semiconductor equipment market.

 
Three markets are showing exceptional growth and that is South Korea (Samsung & SK Hynix), China and Japan (Toshiba & Micron), which must be related to investments in 3D-NAND and DRAM Fab equipment. This is in line with the statement made by VLSI Research at the recent CMC2017 conference in Dallas, saying that they are updating their equipment forecast for 2017 based on increased spending in Memory and especially DRAM this year.

For memory ALD process has been a crucial tool in HVM since 2004 when Samsung started production of 90 nm DRAM with an ALD HfO2/Al2O3 dual stack and since then many, many more ALD process passes has been added to both DRAM and 3D-NAND, for instance in multiple patterning and Tungsten ALD for 3D-NAND gates and Al2O3 blocking oxide in those deep trenches. That is why we can assume that the ALD OEMs should all show record revenue for their ALD business units in 2017. Obviously also PECVD and Etch will benefit from this spending.

Please find the SEMI press release here : LINK

Monday, June 5, 2017

TSMC to sttart production of embedded MRAM and RRAM memory

TSMC will enter into production of embedded versions of the next generation memory technologies according to DIGITIMES (LINK)

TSMC plans to enter risk production of its eMRAM (embedded Magnetoresistive Random Access Memory) chips in 2018 and eRRAM (embedded Resistive RAM) products in 2019 using a 22 nm production node, said the report, citing TSMC CTO Jack Sun.



Embedded memory (DRAM, MRAM, RRAM FRAM) is typically integrated in the vias of the Cu interconnects in a BEOL process. There are opportunities also in the FEOL like the IBM embedded deep trench capacitors used for a long time in gaming consoles or the recent development in ferroelectric non-volatile FETs by Globalfoundries & Co. (FeFETs).

MRAM does not mean a huge opportunity for ALD other than possibly a diffusion barrier of some sort. However, the RRAM cel is very similar to a MIM capacitor used for DRAM and one can expect the use of high-k dielectrics (HfO2, Ta2O5 etc.) as well as metal and metal nitrides (e.g. TiN).

Sk Hynix is developing 96- and 128-Layer 3D NAND

3D-NAND Flash is scaling vertically since some time and there has been a recent cross over in production from "normal" 2D-NAND to 3D-NAND in terms of >50% of all wafer starts now is for the 3D-version. This has boosted sales in CVD, ALD and Etch 300 mm wafer processing equipment.

Now the media reports are busy covering the saving of the Japanese chip giant Toshiba. However meanwhile SK Hynix has announced production of a 72 layer 3D-NAND and now most recently SK Hynix reports that it has 96 and 128 layers coming after, with up to 1Tbit die capacity.

Source: Tom's Hardware LINK
 
 

Sunday, June 4, 2017

IBM, Samsung and Globalfoundries shows off first 5nm GAAFET technology with EUV

IBM together with Samsung and Globalfoundries shows off the world´s first 5 nm logic chip with horizontal Gate All Around Field Effect Transistors also referred to as GAAFETs. GAAFETs are a evolutionary development out of FInFETS that were fisrt introduced at 22 nm by Intel. It is predicted that 7nm will be the last FinFET node and that GAAFETs has to be introduced by then.

Source: IBM LINK

 TEM cross section of 5nm GAAFETs by IBM, Samsung and Globalfoundries (Source IBM)

The GAAFETs are manufactued by deposition stacks of epitaxial silicon and silicon germanium (Si/SiGe Epi). Then by using a combination of EUV lithography and reportedly (LINK) Atomic Layer Etching (ALE) trenches are etched to separate the stack into fins and then afterwards to individual nanowires (or nano sheets as IBM calls them) of Si resp SiGe forming the channels stacked on top of each other. Later the high-k / metal gate (HKMG) stack is deposited in by a sequence of ALD processes conformally covering the nanowire channels.


In the nano sheet FETs, the wires are much wider and thicker presumably giving the nano sheet FETs better electrostatics and drive current

Articles :

Want a smarter phone? IBM and Samsung bring you: Nanosheets!
CNET
If you're frustrated with smartwatches that aren't that smart or phones that don't pack enough power, IBM and Samsung have some good news ...


ASM Technology seminar at the VLSI Symposium, Kyoto, Japan June 6th

ASM International N.V. announces that it will be hosting a technology seminar in Kyoto, Japan on Tuesday June 6, 2017, in conjunction with the VLSI Symposium.

In this technology seminar, ASM will highlight the challenges and potential solutions for achieving next generation devices.

The agenda is as follows:

6.00 pm Reception, drinks and food
6.40 - 6.45 pm Dr. Ivo Raaijmakers (ASM) - Welcome and introduction
6.45 - 7.15 pm Invited speaker: Dr. Tohru Mogami (PETRA) - "Introduction of silicon photonics technology"
7.15 - 7.45 pm Dr. Toshihisa Nozawa (ASM) - "ALD technologies for future Si devices"

Following the presentations, there is room for open discussion and networking.

The ASM technology seminar will take place in the Kokin room (5th floor) at the Hotel Granvia Kyoto. The room will open at 6:00 pm for invited attendees. Interested parties should contact Rosanne de Vries, +31 88 100 8569, rosanne.de.vries@asm.com.