Thursday, December 22, 2016

SK Hynix to invest $2.7 billion on memory chip output as demand soars

According to Reuters the world´s number two memory maker from South Korea - SK Hynix Inc - said it will invest 3.16 trillion won ($2.7 billion) in its home country and China to boost memory chip production, seeking to capitalize on an industry-wide surge in demand.

 Most of the investment will be for a new Fab for 3DNAND flash chips used in non-volatile data storage in Smartphones, Tablets and Laptop Flash SSD hard drives.
 
The rivals Samsung and Toshiba Corp has also announced spending on new Fabs memory chip production.
 
REUTERS article : LINK

Applied Materials reportedly missing out on ALD for OLED encapsulation

Applied Materials is world leading in CVD processing for wafer and display. However, i big part of the CVD equipment market today is the booming segment of ALD where Applied only have single digit percentage of the revenue. According to a recent report by Seeking Alpha, "Applied Materials is facing strong competition as its deposition technology is being supplanted by Atomic Layer Deposition at Samsung Electronics and LG Display". Other applications where Applied Materials did not enter with ALD include:
  • DRAM High-k MIM Capacitors
  • Logic High-k Metal Gate Stack 
  • Double Patterning 
However, Applied Materials has reported strong revenue in Selective Etch and Olympa ALD (>USD 230M) Possibly they have some advanced Spatial ALD technology up the sleeve also for OLED encapsulation.

 Watch For Big Display Equipment Cancellations Coming From Applied Materials

  • Tight supply of a critical piece of OLED equipment from Canon Tokki will limit OLED production in 2017 and impact Applied Material's sales of supplemental equipment.
  • Applied Materials also is facing strong competition as its deposition technology is being supplanted by Atomic Layer Deposition at Samsung Electronics and LG Display.
  • As much as $1 billion in display equipment from Applied Materials could be cancelled in 2017.
Full report

More details on the Canone Tokki and Apple OLED roll out story here from Bloomberg:

Apple's Search for Better iPhone Screens Leads to Japan's Rice Fields [Bloomberg TV]

The ELVESS OLED mass production system. Source: Canon Tokki Corp.

Wednesday, December 21, 2016

CVD Acquires Assets of Danish Tantaline A/S

CENTRAL ISLIP, N.Y., (Business Wire) – December 19, 2016 - CVD Equipment Corporation (NASDAQ: CVV), a leading provider of chemical vapor deposition systems, has purchased certain assets (including all of the IP) formerly owned by Tantaline A/S of Nordborg, Denmark through our wholly owned subsidiary, CVD Materials Corporation. Formed in 2007, as a spin off from The Danfoss Group, Tantaline A/S established itself as a leader in the commercialization of tantalum treated parts for corrosion resistance. We have now established in Nordborg a new and wholly owned CVD subsidiary operating under the name Tantaline CVD ApS (“Tantaline®”). 

 This innovative tantalum chemical vapor technology, called Tantaline® treatment, is used to create a tantalum surface alloy on parts including valves, fittings, autoclaves, process chambers, flow reactors, fasteners, mixers, flowmeters, and medical devices, as well as other parts that are prone to corrosion in harsh environments. These parts are used across a broad range of industries including chemical processing, oil & gas, mining, pharmaceutical, and medical. In hot corrosive acidic environments (>150°C) such as sulfuric, nitric, and hydrochloric acids, Tantaline® treated parts outperform most high priced specialty alloys and perform nearly at the level of solid tantalum parts. Tantaline® treatment therefore provides solid tantalum like superior corrosion resistance at a lower part cost.

ALD and 300 mm Wafer processing - Fabs, Applications & OEMs

IC Insight has released a number of reports now in December (see links below). One of them is the new Global Wafer Capacity 2017-2021 and here it is clear - when it comes to high volume production and wafer size it is clear that 450mm is dead - nobody is going down that path. For the top ALD OEMs the main revenue is on 300mm wafer processing tools, single wafer, multi wafer and large batch furnaces.

Since Samsung went ahead with high volume manufacturing using an ALD High-k as node dielectric at 90 nm for DRAM MIS Capacitors ALD has mainly been employed on 300 mm wafers. I am guesstimating that 80 to 90 % of the total annual revenue for ALD equipment are 300 mm wafer processing equipment. The top suppliers in annual revenue for the 300 mm market in descending order according to my estimates for 2015 was: 

1. ASM International
2. Tokyo Electron
3. Kokusai
4. Lam Research
5. Jusung Engineering
6. Wonik IPS
7. Aixtron
8. Applied Materials
9. Picosun
10. Ultratech. 

Adding to that there are a number of OEMs in South Korea besides Jusung and Wonik IPS that are supplying 300 mm ALD tools but I lack insight into them and therefore I am rather leaving them outside the list. By the end of the 1st quarter 2017 there will be new numbers available for a estimation for 2016 and it will be interesting to see if Applied Materials and Lam Research have taken market shares in ALD for the booming 3DNAND, patterning business and maybe BEOL applications where ASM is not that strong and Large Batch Furnaces are more seldom used (TEL & Kokusai).

Following the table below from IC Insight you can see that  the top 10 manufacturers having 300 mm fabs and thats´s where you will find a majority of those ALD reactors shuffling 300 mm wafers for the major ALD process modules that are:

  • DRAM Capacitors
  • HKMG Transistor stacks
  • Liners & Spacers
  • Multipple patterning
  • 3DNAND Gate stacks
  • Cu seed, barries and caps inBEOL interconnects
Most interestingly the memory companies (Samsung, Micron & SK Hynix) are shuffling the most amount of 300 mm wafers, almost 50% of them, and besides the big ALD install base in Logic Gate stacks and patterning you will find a huge amount of installed ALD tools in those DRAM and NAND flash fabs. 





Total Memory Market Forecast to Increase 10% in 2017
-- December 20, 2016
Number of IC Manufacturers Using 300mm Wafers Less than Half Using 200mm Wafers-- December 16, 2016
Five Suppliers Hold 41% of Global Semiconductor Marketshare in 2016-- December 06, 2016

Tuesday, December 20, 2016

Jusung Engineering signs USD 15 million contract with Chinese Kunshan OLED Line


Jusung Engineering Says it has signed a 18.03 billion won (US$15.2 million) contract with Chinese Kunshan Govisionox Optoelectronics Co., Ltd. to provide display manufacturing equipment. (Source : LINK)

According to Iter News the equi9pment from Jusung is for OLED Encapsulation and the OLED Display ilne in Kushan will come online 4Q 2017 and will have a monthy capacity of 8,000 sheets. Reportedly, Jusung have previously supllied equipment also fro LG Display and Innolux in Taiwan.

Jusung´s platform for OLED Encapsulation with a new concept for zero-damage plasma technolog producing a very high-quality thin film  technology at low temperature (www.jseng.com)

According to Jusung website they supply the following technology for OLED Display encapsulation:

KEY BENEFITS
CVD/ALD two-way encapsulation system suitable for large-area encapsulation
- Large-area encapsulation using new concept plasma technology for the first time in the world TSD-CVD/ALD (Time Space Divided)

KEY APPLICATIONS
- Encapsulation (SiO / SiOX / SiN / Al2O3)
- Barrier (SiO / SiOX / SiN / Al2O3) 

Thursday, December 15, 2016

Samsung Likely to Spin off Foundry Business Division

SEOUL,KOREA, 12 December 2016: Samsung Electronics is considering a reorganization of the System LSI division in order to systematically grow the system semiconductor business. The company is planning to separate the design and manufacturing sectors in the business unit and divide or spin off it to fabless and foundry business divisions

Wednesday, December 14, 2016

SEMI Forecasts - 62 New Fabs to Start Operation 2017 to 2020

Driving the growth in ALD Equipment 2017 to 2020 will be the building and starting of operations of 38 Front End Fabs for Foundry (20), Logic (5) and Memory (13). For this CVD, ALD and Advanced Etch Equipment, Including ALE will be needed for HVM of sub 20 nm nodes Logic & DRAM and next Generation 3DNAND.

SEMI Reports: Data from SEMI’s recently updated World Fab Forecast report reveal that 62 new Front End facilities will begin operation between 2017 and 2020. This includes facilities and lines ranging from R&D to high volume fabs, which begin operation before high volume ramp commences. Most of these newly operating facilities will be volume fabs; only 7 are R&Ds or Pilot facilities.


SEMI's latest World Fab Forecast. By product type, 32 percent are foundries, 21 percent are Memory, 11 percent LED, then Power, MEMS, Logic, Analog, and Opto, in decreasing order. Between 2017 and 2020, China will see 26 facilities and lines beginning operation, about 42 percent of the worldwide total currently tracked by SEMI.  The majority of the facilities starting operation in 2018 are Chinese-owned companies. The peak for China in 2018 comes mainly from foundry facilities (54 percent). The Americas region follows with 10 facilities, and Taiwan with 9 facilities. Data: SEMI.
 

New facilities & lines starting operation by product type from 2017 to 2020. Data: SEMI.

Tuesday, December 13, 2016

Semiconductor Equipment Sales Forecast ─ $40 Billion

TOKYO — December 13, 2016 — SEMI, the global industry association representing more than 2,000 companies in the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 8.7 percent to $39.7 billion in 2016, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition. In 2017, another 9.3 percent growth is expected, resulting in a global semiconductor equipment market totaling $43.4 billion.
The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 8.2 percent in 2016 to total $31.2 billion. The assembly and packaging equipment segment is projected to grow by 14.6 percent to $2.9 billion in 2016 while semiconductor test equipment is forecast to increase by 16.0 percent, to a total of $3.9 billion this year. For 2016, Taiwan and South Korea are projected to remain the largest spending regions, with China joining the top three for the first time. Rest of World (essentially Southeast Asia), will lead in growth with 87.7 percent, followed by China at 36.6 percent and Taiwan at 16.8 percent. SEMI forecasts that in 2017, equipment sales in Europe will climb the most, 51.7 percent, to a total of $2.8 billion, following a 10.0 percent contraction in 2016. In 2017, Taiwan, Korea and China are forecast to remain the top three markets, with Taiwan maintaining the top spot even with a 9.2 percent decline to total $10.2 billion. Equipment sales to Korea are forecast at $9.7 billion, while equipment sales to China are expected to reach $7.0 billion. The following results are given in terms of market size in billions of U.S. dollars:

Monday, December 12, 2016

Flexible displays to grow 135% in 2017 and are expected to reach 139 million units

IHS Markit reports: As more smartphone manufacturers build designs using flexible display technology, shipments of flexible displays are expected to reach 139 million units in 2017, an increase of 135 percent compared to 2016. Further, the flexible displays are expected to comprise 3.8 percent of total display unit shipments in 2017.
  • Vivo and Xiaomi launched their first smartphones with flexible active-matrix organic light-emitting diode (AMOLED) displays in 2016.
  • Apple is expected to launch its new iPhone using flexible AMOLED display in 2017.
  • In 2017 Samsung Display and LG Display will start operating new fabs for flexible displays.
 Flexible display shipment forcast (Source IHS Markit)

Friday, December 9, 2016

Aixtron considers partial sell-off after Chinese deal blocked

REUTERS : German semiconductor chipmaking machinery company Aixtron (AIXGn.DE) may sell off part of its business, its chief executive said in an interview published on Friday, opening the door for bidders after a deal with a Chinese company collapsed.

China's Fujian Grand Chip Investment Fund dropped its 670 million-euro ($712 million) bid for Aixtron earlier this week after the United States blocked the deal on security grounds, throwing the German company's future into doubt.


Martin Goetzeler, Chief Executive Officer (Aixtron.com)
 
"There are two options: First, we could hope that the markets for our products recover and continue investing high sums in new equipment. But that would come with high development and ramp-up costs, and risks," Martin Goetzeler told German daily Handelsblatt.

"Or Aixtron could shrink, divest technologies and continue with a specialized offering," he said.


Aixtron makes devices which produce crystalline layers from gallium nitride that are used as semiconductors in weapons systems.

Its technology is being used to upgrade U.S. and foreign-owned Patriot missile defense systems and the U.S. Treasury said the deal had been blocked due to national security risks.

Full report at Reuters : LINK

Thursday, December 8, 2016

China's Fujian drops Aixtron bid after U.S. blocks deal

Seeking Alpha reports:
  • China's Fujian Grand Chip Investment Fund drops its takeover bid for German chip equipment maker Aixtron (NASDAQ:AIXG), saying its offer had lapsed as it had failed to obtain U.S. regulatory approval for the deal.
  • AIXG's technology is being used to upgrade both U.S. and foreign-owned Patriot missile defense systems, and the U.S. blocked the deal last Friday due to national security risks.

Taiwan's TSMC to build $16bn advanced chip facility

Sunday, December 4, 2016

Aixtron, Fujian to explore what is left of deal after U.S. veto

(REUTERS): German semiconductor equipment maker Aixtron will explore with its Chinese suitor what can be salvaged of the planned takeover after a U.S. presidential order ruled the deal posed a national security risk, the company said on Saturday.

U.S. President Barack Obama blocked China's Fujian Grand Chip Investment Fund (FGC) from acquiring Aixtron's U.S. business, the Treasury Department said on Friday.



"The bidder and Aixtron are evaluating the impact of the Order on the conditions to be fulfilled under the takeover offer and will coordinate with the German Federal Financial Supervisory Authority (BaFin) to examine the consequences of the Order on the takeover process," Aixtron said in a statement.

It added the presidential order was limited to Aixtron's U.S. business and did not per se prohibit the acquisition of Aixtron shares and American depositary shares FGC.

Aixtron has previously said that scrapping the proposed deal would mean it would have to cut costs and jobs would be at risk. 

It added the presidential order was limited to Aixtron's U.S. business and did not per se prohibit the acquisition of Aixtron shares and American depositary shares FGC. Aixtron has previously said that scrapping the proposed deal would mean it would have to cut costs and jobs would be at risk.

Saturday, December 3, 2016

Versum Materials Reports Strong Fourth Quarter

TEMPE, Ariz.–(BUSINESS WIRE)–Dec. 1, 2016– Versum Materials, Inc. (NYSE: VSM), a leading materials and equipment supplier to the semiconductor industry, today reported results for the fourth quarter and full fiscal year ended September 30, 2016. Net income for the fourth quarter of $45 million was up 5% versus the comparable prior year period while fiscal 2016 net income of $212 million was up 15% versus prior year. Adjusted EBITDA for the quarter of $78 million was up 12% versus the comparable prior year period while fiscal 2016 adjusted EBITDA of $327 million was up 8% versus prior year.

The results reflect the performance of Versum Materials as a wholly owned subsidiary of Air Products and Chemicals, Inc. (NYSE: APD) (“Air Products”), and are derived from the consolidated financial statements and accounting records of Air Products as if Versum operated on a stand-alone basis during the periods presented and were prepared in accordance with GAAP.

“For the Versum team, this fourth quarter closes a strong final chapter as a subsidiary of Air Products and sets us up for a bright future ahead as an independent company, focused on delivering meaningful value to our customers, shareholders and employees,” said Guillermo Novo, our President and Chief Executive Officer. “With a broad portfolio of products and services, Versum is uniquely positioned to grow and lead through innovative solutions and services for our customers in the semiconductor industry.”
Full report : LINK

President Obama set to block Aixtron sale

Seeking Alpha reports that President Obama is poised to block a Chinese company from buying Germany's Aixtron (NASDAQ:AIXG) because Northrop Grumman (NYSE:NOC), a major U.S. defense contractor, is among the chip equipment maker's customers.

It would mark only the third time in more than a quarter century that the White House rejected an investment by an overseas buyer as a national security risk.

Aixtron shares -6.5% in Frankfurt.


China Warns U.S. Against Blocking Aixtron Takeover

Aixtron shares fall amid U.S. national security concerns over acquisition by China’s Fujian Grand Chip Investment Fund [Wall Street Journal]

Obama bars China's Fujian from buying Aixtron's US business


The headquarters of German chip equipment maker Aixtron SE is pictured ... The Treasury Department said Obama was blocking the deal .. [Reuters]

Warum redet Amerika in der Aixtron-Ãœbernahme mit?

Die Übernahme des deutschen Maschinenbauers Aixtron durch einen chinesischen Investor ruft die große Politik in Washi [FAZ - Frankfurter Allgemeine Zeitung]

Friday, November 18, 2016

Applied Materials reports strong revenue in Selective Etch and Olympa ALD (>USD 230M)

Applied Materials Inc, the world’s largest supplier of tools used to make semiconductors, reported lower-than-expected quarterly revenue, largely due to slowing smartphone sales. However, it seems that they had recent success in selective etch and Olympia ALD sales.


Applied Materials' CEO Gary Dickerson reported the following on Q4 2016 Results: "Our leadership businesses are in a really great position to grow. In 2016, we converted well over 90% of our development positions to volume production wins. We’re also making significant market share gains in Etch and CVD. Fiscal 2016 was our third consecutive year of growth in CVD and fourth consecutive year of growth in Etch where revenues reached a 9-year high. Overall our combined Etch and CVD revenues exceeded $2.7 billion for the year. I’m very excited by our product pipeline line in Etch and ALD. We’re seeing rapid adoption of our innovative new solutions, including selective Etch and Olympia ALD that together generated more than $230 million of revenue this year."

Source: Applied Materials' (AMAT) CEO Gary Dickerson on Q4 2016 Results - Earnings Call Transcript by Seeking Alpha.


Wednesday, November 16, 2016

Aixtron returns to positive free cash flow in Q3, boosted by sales of AIX R6 system inventory

Semiconductor Today reports: The majority of AIX R6 MOCVD system inventory (for GaN LEDs) – which amounted to €19.3m at the end of September – was sold in Q3 (and will be shipped in the coming months). Also, throughout Q3 there were strong shipments of Planetary reactor systems particularly for red, orange, yellow (ROY) LED optoelectronics and power electronics. "We continue to be in a solid position in MOCVD outside GaN LEDs," notes president & CEO Martin Goetzeler. Also, Aixtron has completed one customer's qualification program for atomic layer deposition (ALD) tools for high-k oxide films; in Q3/2016 such silicon applications (including spares) comprised 25% of total revenue. 

Full story: LINK

 

Tuesday, November 15, 2016

Germany’s Merck Q3 profit, sales soar on Sigma-Aldrich acquisition

ICIS Reports : Merck posted a 19.3% year-on-year increase in third-quarter sales at €3.7bn and a post-tax profit of €460m, up 25.9%, benefitting from its $17bn acquisition of US’ Sigma-Aldrich in 2015, the German chemical major said on Tuesday.

Merck’s Performance Materials division, however, posted a 1.3% fall in third-quarter sales year on year to €645m, while earnings before interest, taxes, depreciation and amortisation (EBITDA) pre exceptional items fell 5.4% during the period, year on year, to €282m.

“However, the acquisition-related sales increase of 3.5% attributable to the SAFC Hitech business of Sigma-Aldrich, which has been integrated into the Performance Materials business sector, had a positive impact.”

Full story : LINK

Tuesday, November 8, 2016

Aixtron announce High-k ALD customer for memory in 3Q/2016 Earnings call

AIXTRON Aktiengesellschaft's (AIXG) CEO Martin Goetzeler on Q3 2016 Results - Aixtron announce new customer in Memory in their silicon business unit (mainly ALD from ex-Genus) as The customer has qualified ALD tools for high-k oxide. In Q3 2016 silicon including spares contributed 25% of Aixtron total revenues.


Please find the full transcript of the Q3 earnings call here and the slides here. Boot supplied by Seeking Alpha.

Thursday, November 3, 2016

Details on the Veeco ALD cost reduction program from Q3 2016 Results - Earnings Call

Veeco Instruments Inc. announced September 2013 that it has signed an agreement to acquire privately held Synos Technology, Inc. (“Synos”) and went through with the acquisition. At the time Synos was developing and manufacturing Fast Array Scanning™ Atomic Layer Deposition (FAST-ALD™) systems that are enabling the production of flexible organic light-emitting diode (OLED) displays for mobile devices. 

Despite recent rumors that the display industry is adopting ALD OLED barrier technology Veeco has announced a cost savings program for ALD. Here are some insights to the Veeco ALD cost reduction program as reported during the Veeco Instruments Q3 2016 Results - Earnings Call (Seeking Alpha Transcript)


John R. Peeler - CEO, Veeco Instruments, Inc.
"More recently, we reduced investments in our atomic layer deposition technology development. We weighed the investments necessary to establish and grow a position in ALD with the potential and expected timing for returns and although we continue to make progress in our development efforts for advanced semiconductor applications, the timing for potential revenue realization was delayed. As a result, we decided to significantly reduce our ALD expenses. In total, we now expect to lower the company's cost structure by $30 million on an annual basis. These savings translate into an EBITDA break-even level at or below $75 million in quarterly revenue, starting in Q1 2017."

Shubham Maheshwari - CFO, Veeco Instruments, Inc. gave the following explanations:
  • The competitive technologies solved their problems leaving no investment for now in ALD meaning that the near-term opportunity for ALD has been pushed out and that is the reason for the ALD cost reduction program.
  • The ALD cost reduction is about $10 million of the total cost reduction program reported.
  • The spending up until now for ALD has been somewhere around low teens on an annual basis
  • Veeco will still be investing in ALD, but at a much lower amount. 


Sunday, October 30, 2016

ALD news & future outlook from the 3Q 2016 ASM Interlational earnings call

Here is a summary and some personal reflections of the ASM International 3Q/2016 earnings call (Full version at SeekingAlpha) having the ALD binoculars on, as always. In any, case nothing else than ALD was discussed and the main focus was on single wafer ALD and not batch furnace directly. There was however an interesting question from ING on the rumors that ASM will place an offer on Hitachi Kokusai, which Chuck del Prado declined to comment on other than in general terms that ASM has an organic growth strategy and will take opportunities if they give long term growth and therefore share holder value.

My take on this is that we know ASM has made very successful acquisitions in the past, i.e., Microchemistry Oy Finland (ALD technology) and Genitech South Korea (PEALD) and both acquisitions have become crucial fundaments to ASM ALD domination today. However, ASM has not been that successful in ALD Large Batch business, which is dominated by Tokyo Electron and Hitachi Kokusai.

Kokusai also have Batch SiGe Epi process which could add to the ASM Epi business. Based on this it makes sense for ASM to acquire Kokusai. Timing is also good since it is also for sale and ASM has a lot of cash so it is very interesting times. However, you can imagine that also other OEMs are interested in Hitachi Kokusai so let´s see what the outcome will be.

Over to the call - ASM International President and CEO Chuck del Prado reported that as usual the ALD business was again the main driver in the third quarter. The revenue was led by foundry, followed by memory (3DNAND & DRAM) and not so much by logic, which decreased compared to 2Q/2016. The driver for in foundry and logic was driven by 10 nm investments taking place and in memory there was a drift from DRAM towards 3D NAND.

Foundry & Logic

The transition to 10 nm shows an increase in the number of ALD layers for which ASM has been been claiming additional business as compared to the previous 14 nm 16 nm generation. ASM expect to book record revenue in the foundry segment this year compared to previous years.

Memory

Following strong spending levels in 2015 DRAM the  spending went down substantially in 2016. A recovery in DRAM spending has been pushed out and is not expected to occur before mid 2017. The key driver will then be the 1X technology node (Samsung, Hynix and Micron).

For NAND flash that is in transition, the single wafer ALD market and customer spending in NAND flash has shifted from planar NAND to 3D NAND. As a consequence the multiple patterning in planar NAND has close to disappeared by now.

For 3D NAND Chuck del Prado announced that ASM has booked multiple XP8 tool orders for a number of ALD applications in the third quarter and ASM expect double digit growth in the 3D NAND single wafer ALD market in 2017.

For Xpoint (Intel & Micron) ASM announced that they have a R&D engagement for quite some time and are ready for the that market to take off. 
Eagle XP8 is a high productivity 300mm tool for PEALD applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production within a very compact footprint. (www.asm.com)

Future outlook of the ALD market

ASM maintain their forecast that the single wafer ALD market will show a double digit percentage decline in 2016. As explained above due to a significant drop in the memory segment, both in the DRAM and in NAND flash. The drop is only partially offset by a substantial increase in the logic/foundry segment, i.e., 10 nm investments.

For 2017 ASM expectation is that the (single wafer) ALD market will improve due to growthin logic/foundry and 3D NAND applications. However, DRAM spending will come later (mid 2017).


"... the longer term outlook for the single wafer ALD market, the outlook for structural growth remains strongly driven by miniaturization and the introduction of new materials and new complex device architectures. We still estimate that these markets, this market to double by the 2018, 2019 timeframe. Given the decline in the market in 2016, it is more likely that this will happen in 2019 than in 2018." - Chuck del Prado 

Chuck del Prado summarized the growth drivers in single wafer ALD to:
  • Complexity and low temperature requirements of advanced FinFET structures drive a strong increase in new ALD applications and layers.  
  • ALD-based multiple patterning is a key enabler of the 10 nanometer transition and also the 7 nanometer node in logic/foundry, and the transition from 14/16 nanometer to 10 nanometer and 7 nanometer, over a multiyear period, will expand the single wafer ALD served available market in logic/foundry to more than double in total. 
  • In DRAM, we expect multiple patterning to remain a steady contributor for the coming technology transition. 
  • In NAND, from a lower base in 2016, we foresee a steady increase in the number of single wafer ALD applications as customers transition to next generation higher stack 3D NAND devices in the coming years.
During the questioning at the end of the call Chuck del Prado reassured the statement form earlier that the the more than doubling in ALD growth is the transition from 16/14 nm to 10 and 7. I assume he also include the single wafer opportunities in 3DNAND and the 1x nm DRAM invest up ahead.

For us ALD R&D guys it would mean that we would need to increase our R&D effort all the same and plan for >1600 delegates at the next European ALD conference 2020 as ALD2016 Ireland had 811 delegates - or has ALD transitioned form a technology push to a Industry pull already some years ago?
 

Wednesday, October 26, 2016

ASM International today reports its third quarter 2016 operating results

REPORTING 2016
With the 2015 Q4 earnings release, published on February 23, 2016, ASMI announced that as of January 1, 2016, it will report its financial results in accordance with IFRS. Up until the last reporting regarding 2015, ASMI's primary external and internal reporting has been based on US GAAP. In addition ASMI issued quarterly reconciliations of net earnings and shareholders' equity and (semi) annual financial statements prepared in accordance with International Financial Reporting Standards (IFRS). Following the voluntary delisting from NASDAQ, August 2015, ASMI migrated to IFRS as its only internal and external reporting standard from January 1, 2016 and discontinued the use of US GAAP as of the same date. During 2016 comparable results based on US GAAP will be presented, as from 2017 results based on IFRS only will be reported.



The main deviations between IFRS and US GAAP are explained in Annex 2.
FINANCIAL HIGHLIGHTS
ASMI results based on IFRS.

Quarter
EUR million
Q3 2015
Q2 2016
Q3 2016
New orders
148.1

159.1

122.6

Net sales
162.0

138.7

144.2

Gross profit margin %
43.4
%
43.8
%
44.2
%
Operating result
30.6

16.7

16.8

Result from investments (excl. Amortization intangible assets resulting from the sale of the 12% stake of ASMPT)
8.6

16.4

26.7

Amortization intangible assets resulting from the sale of the 12% stake of ASMPT
(6.7
)
(6.7
)
(6.7
)
Net earnings
42.8

35.6

33.1

Normalized net earnings (excl. Amortization intangible assets resulting from the sale of the 12% stake of ASMPT)
49.5

42.3

39.8


  • Net sales for the third quarter 2016 were €144 million, an increase of 4% compared to the previous quarter. Year-on-year net sales decreased with 11%.
  • New orders at €123 million were 23% below the Q2 2016 level.
  • Normalized net earnings for the third quarter 2016 decreased by €2 million compared to the second quarter 2016. Operating result was stable at €17 million. The financing result included €3 million negative effects from currencies compared to €8 million positive effects in the second quarter. The result from investments increased with €8 million.


Monday, October 24, 2016

Rumor - ASM International considering bid for Hitachi’s Kokusai Unit

According to several media reports/rumors - ASM International considering bid for Hitachi’s Kokusai Unit that is up for sales. Both ASM International and Hitachi Koksai have large ALD business units.

IN DUTCH: AMSTERDAM (AFN) - ASM International (ASMI) overweegt een meerderheidsbelang te nemen in de toeleverancier aan de chipindustrie Hitachi Kokusai Electric. Dat meldde persbureau Bloomberg maandag op basis van ingewijden.

Earlier this month Bloomberg reported that Hitachi Ltd. is considering a sale of its controlling stake in Hitachi Kokusai Electric Inc. [LINK]



The German government withdraws its approval for a Chinese takeover of Aixtron

Seeking Alpha Reports : The German government withdraws its approval [Bloomberg] for a Chinese takeover of chip equipment maker Aixtron (NASDAQ:AIXG), raising an unexpected hurdle for a €670M ($728M) deal on the home stretch. Germany's economics ministry says it is reopening its review of Grand Chip Investment’s takeover after clearing the €6/share deal just last month. The planned purchase of AIXG is part of a wave of Chinese acquisitions of German tech companies, and the holdup appears to signal a growing backlash against such activity within the Merkel government.
 
 

Friday, October 21, 2016

ALD Equipment Market - Global Industry Analysis Size Share Growth Trends and Forecast 2016 - 2024

The presence of a large number of international and local companies is boosting competition in the global atomic layer deposition (ALD) equipment market. As per a new study by Transparency Market Research (TMR), the competition prevailing in the market is expected to intensify further between 2016 and 2024. As of 2015, ASM International N.V., Applied Materials, Inc., and Tokyo Electron Ltd. cumulatively held a share of 58.9% in the global market. Of these, ASM International N.V. emerged as the top player, accounting for over 28% of the global ALD equipment market in 2015. Rivalry among key players in terms of product development and technology and product upgrades is expected to intensify during the forecast period.

The global ALD equipment market stood at US$875.0 mn in 2015. Exhibiting a CAGR of 29.4% between 2016 and 2024, the market is poised to reach US$8.58 bn by the end of 2024.

“Due to the recent advancements in the atomic layer deposition technology, the use of ALD equipment has considerably increased in the nanotechnology sector,” says a lead analyst at TMR. TMR expects applications of ALD equipment as manipulation and fabrication tools in nanotechnology to rise further in the short term. “Growing concerns pertaining to carbon emissions have accelerated investment in the R&D of photovoltaics. Due to the increasing research and development activities, the use of ALD as a PV manufacturing method has substantially expanded,” adds the analyst. This rising demand for photovoltaic cells, combined with rapid advancements witnessed in PV technology, will subsequently augment sales of ALD equipment in the forthcoming years.

Thursday, October 20, 2016

Lam Research's Next Acquisition Opportunity

Here is a review of Lam Research future merger / take over opportunities of wafer based equipment manufacturers by Robert Castellano at Seeking Alpha. From an ALD point of view an acquisition of Hitachi Kokusai that is actually up for sell is interesting since Lam has no Furnace ALD technology and could then compete with TEL for that business too. And yes Applied Materials also have no Furnace business at all.

Main points by Robert Castellano:
  • Following the breakdown in the Lam Research - KLA-Tencor merger, Lam has $5 billion in cash that can be used for future mergers or acquisitions.
  • Hitachi Kokusai announced it was exiting its semiconductor equipment business by selling Hitachi Kokusai.
  • Hitachi Kokusai is a market leader in thin film deposition equipment, which will compliment Lam Research's line of equipment.
 Full article can be found here.

Lam Research see growth in atomic level processing : ALD & ALE

Lam Research's (LRCX) CEO Martin Anstice on Q1 2017 Results - Earnings Call Transcript by Seeking Alpha [LINK]

Martin Anstice, President and Chief Executive Officer of Lam Research stated in a call on the financial results for the September 2016 quarter that Lam Research has achieved a new record for shipments in the quarter. 
With respect to ALD and ALE he made this encouraging statement early on in the call:

"Our differentiated product pipeline momentum continues apace most recently with metal ALD in 3D NAND and atomic level etch in dielectric foundry applications. In addition, our business teams are achieving more than 90% success in critical defenses and new market application penetrations combined which is extremely rewarding. This is an exciting time to be part of the semiconductor ecosystem, no doubt."

Martin Anstice went on and gave the following outlook for 2017:

"As we look into 2017, we are encouraged by the strong momentum in 3D NAND. For numerous reasons, we are also more convinced and convicted in our belief that DRAM supply and demand conditions will continue to improve as we previously indicated. As a result, we have an upward bias to spending expectations for DRAM and NAND in 2017. We expect flat to a modest pullback in logic foundry spending as customers digest the 10 nanometer investments made in 2016 and commence initial 7 nanometer roadmaps. Overall, we are modeling slightly higher total WFE spend in 2017 with memory versus logic segment tailwinds for the company once more. Again, our objective will be to outgrow WFE in 2017." 

Please find the full transcript provided by Seeking Alpha here and the slides from the earnings call here.

Press release from Lam Research here.

2016 IC market forecast raised from -2% to +1%

Solid State Technology reports: IC Insights will release its October Update to the 2016 McClean Report later this week. This Updateincludes a review of IC Insights’ latest 2016 IC market forecast, an update on the rebounding DRAM market, and an extensive analysis of the optoelectronics, sensor/actuator, and discrete (O-S-D) markets. An excerpt from the October Update, describing the upgraded 2016 IC market forecast, is shown below...
 
Continue reading here.

China plans 3D NAND production next year with ALD

According to media reports (Digitimes and Electronics Weekly) Yangtze River Storage Technology (YRST) the Tsinghua Unigroup Chinese memory company, will start producing 3D NAND at the end of next year.

From Electronics Weekly:

XMC, which was taken over by YRST earlier this year, developed the flash technology with Spansion which is now merged into Cypress.

YRST’s Wuhan fab is due to start running wafers at the end of this year with first products due by the end of 2017.

The fab will make DRAM as well as flash. It will be built in three stages with an eventual planned capacity of 300k wpm in 2019

From an ALD point this is very interesting since this will be a big load for manufacturing and shipment of leading edge ALD Tools from various suppliers like Lam Research (Tungsten and dielectrics) and ASM International and maybe some of the Korean & Japanese Vendors as well into mainland China.

Until now there are no real leading edge CMOS Fabs in China however a number of Memory Fabs operated by Hynix and Micron and others that for sure are fully loaded with ALD Furnaces and Chambers

Thursday, October 13, 2016

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016

ASM International N.V. (Euronext Amsterdam: ASM) will report operating results for the 2016 third quarter ended September 30, 2016 at approximately:
  • 18:00 p.m. Continental European Time - Wednesday, October 26, 2016.
     
  • 12:00 a.m. (noon) US Eastern Time - Wednesday, October 26, 2016.
ASM International will host an investor conference call and web cast on Thursday, October 27, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).
The teleconference dial-in numbers are as follows: 
  • United States:         +1 646 254 3367
  • International:           +44 (0)20 3427 1900
  • The Netherlands:     +31 (0)20 716 8256
  • Access Code:          2990659
A simultaneous audio webcast and replay will be accessible at www.asm.com.

Wednesday, October 12, 2016

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems

PV Magazine reports: Dutch company SoLayTec continues to book orders for its atomic layer deposition (ALD) systems.

The manufacturer, part of Arizona-based Amtech Systems, Inc., develops, delivers and services the machines for atomic layer deposition on solar cells worldwide. 

SoLayTec has booked 22 ALD system orders since its inception. (PV Magazine)

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.

"This latest order is another indication of the growing recognition in the solar industry of the outstanding performance of SoLayTec's spatial ALD system to improve the cost of ownership of our customers' PERC cell processes,” said Fokko Pentinga, CEO and president of Amtech.

Read more: http://www.pv-magazine.com/news/details/beitrag/solaytec-finds-buyers-for-ald-systems_100026445/#ixzz4Msh8KUjV

Friday, October 7, 2016

Veeco Announces Reduction in ALD Technology Investments

Veeco Announces Reduction in ALD Technology Investments and Guides Third Quarter 2016 Revenue to High End of Guidance Range


  • Significantly reducing future investments in Atomic Layer Deposition ("ALD") technology development
  • ALD cost reductions expected to result in annualized savings of approximately $10 million
  • Expecting to record pre-tax charges of between $56 and $62 million in Q3 2016, the vast majority of which are non-cash impairment charges
  • Expecting Q3 2016 revenue to be at the high end of previously announced guidance range of $70 million to $85 million

Plainview, NY -- 10/05/16 -- Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.

ALD cost reduction activities are expected to be complete by year end 2016 and are in addition to the previously announced restructuring plans, which targeted $20 million in annualized savings. In total, these initiatives are expected to generate $30 million in annualized savings.

Monday, October 3, 2016

Nanya to invest NT$50 billion over next 2 years including ReRAM and 3D Xpoint

According to Digitimes, Nanya is to invest NT$50 billion over next 2 years.

Taiwan-based DRAM maker Nanya Technology is looking to invest a total of NT$50 billion (US$1.6 billion) over the next two years to "enhance its product value rather than market share," according to company president Pei-Ing Lee.

Nanya will put its focus on new memory technologies including ReRAM and 3D XPoint, said Lee.Nanya recently issued a filing with the Taiwan Stock Exchange (TSE) disclosing a total of NT$343 million spent on facilities and machinery equipment. Nanya has budgeted a capex of NT$25 billion for 2016. Spending for the year will focus on the company's transition to 20nm process technology.
 

Thursday, September 29, 2016

Samsung & LG Move To ALD for OLED encapsulation may push Applied Materials out of the market

Here is a recent follow up on the rumor that Samsung Electronics and LG Display will move to ALD Encapsulation (and drop PECVD) from Seeking Alpha (Summary below) : LINK
  • Samsung Electronics and LG Display have indicated a move to atomic layer deposition for encapsulation of flexible OLED displays.
  • Applied Materials makes competing equipment and the move by these OLED titans will eliminate the need for equipnent from Applied Materials.
  • Veeco may be the main beneficiary as Samsung has been developing its encapsulation process on Veeco equipment.

Friday, September 23, 2016

Rumor: Apple 2017 iPhone may have Samsung's new Flexible OLED made by ALD

Here are some unconfirmed information that ALD will be used in the next generation Apple iPhone as of 2017.In addition, Korea IT News is claiming that both Samsung and LG have finalized the R&D phase of introducing ALD barrier technology for OLED and are now working closely with Korean ALD OEMs to go to production. Companies mentioned are Jusung Engineering, WONIK IPS, AP System, and TES.

With Apple reportedly shifting to iPhones with OLED displays starting in 2017, it will be interesting to see if Apple will be using Samsung's latest OLED technology that may be ready for the 2017 anniversary iPhone. According to a new OLED report, Samsung Display and LG Display are working to introduce ALD (Atomic Layer Deposition) technology to flexible OLED thin-film encapsulation process. They both have worked closely with their equipment partners to get them up to speed. ALD technology will add an important component to OLED displays for smartphones that add protecting organic materials from oxygen and water that will increase the overall life of a display. Samsung in particular is reported to be "working fast to introduce ALD technology." 
LINK: http://www.patentlyapple.com/patently-apple/2016/09/apples-2017-iphone-may-use-samsungs-new-flexible-oled-atomic-layer-deposition-technology.html

Checking the Korean ALD companies on the stockmarket give no hint or reaction that any of them are about to sign big deals.



Last 6 months performance for an index based on Korean companies with ALD Technology that may be used for OLED Encapsulation. Tes Co Ltd, Jusung Engineering Co., Ltd., EugeneTechnology Co Ltd and Wonik IPS Co Ltd. (Plotted using Google Finance)