Tuesday, November 28, 2017

Picosun provide ALD Cluster Tool to Swedish MEMS Foundry Silex Microsystems

ESPOO, Finland, 29th November, 2017 – Picosun Oy (Finland), Silex Microsystems AB (Sweden), and Pegasus Chemicals Ltd (UK) have joined forces to develop and provide novel ALD (Atomic Layer Deposition) solutions and processes for MEMS (MicroElectroMechanical Systems) industries. The aim of the collaboration is to realize emerging, advanced MEMS structures that would not be possible to manufacture by any other thin film deposition methods.


Today, MEMS are crucial components in several everyday applications such as mobile phones, cars and in various sensor systems. In addition to these already vast markets, the rapidly spreading Internet-of-Things with its billions of independently communicating electronic devices is a huge driving force to accelerate MEMS industry’s exponential growth in the very near future. 

This is Silex Microsystems (Promotion Viedo from Youtube)
In the Picosun-Silex-Pegasus collaboration, a PICOSUN™ ALD cluster platform is installed at Silex’s MEMS foundry in Järfälla, Sweden. The platform consists of a fully automated, factory integrated cassette-to-cassette vacuum robot for substrate handling and a PICOSUN™ P-300F ALD reactor capable of coating up to 25 pcs 8” wafers in a batch. The installed reactor can deposit various metal oxides, metal nitrides, and pure metals on up to tens of thousands of wafers per month (*). Pegasus develops and manufactures the precursor chemicals required for the ALD processes and provides the technical support and delivery options for individual applications. The cluster platform can be later upgraded with two additional ALD reactors. In the collaboration, engineers and scientists from all three partners work together to solve existing problems in MEMS processing, as well as to develop completely new openings on how to realize novel MEMS devices.

“We have been working with Picosun since 2010 and now with this project we can bring our collaboration to a completely new level. We are very excited to have the PICOSUN™ ALD cluster platform in our cleanroom. It enables us to develop novel, production-proven ALD solutions for our customers in advanced MEMS applications,” says Dr. Niklas Svedin, Vice President of Engineering at Silex Microsystems. 
“This is a valuable project for us, as the use of ALD in MEMS processing is increasing very fast. We have already strong presence in the MEMS market, but new applications come up weekly and we want to keep our spearheading position in this development. Now in the SALADIN project we have partners with whom we can also test and develop new ideas of our own how ALD could be implemented in the MEMS process flow,” continues Mr. Juhana Kostamo, Managing Director of Picosun. 
“The MEMS industry is a fast-growing market and it is very interesting for us to be involved in the process of introducing the groundbreaking ALD cluster platform to it. We are eager to be in the frontline of the chemical development for this field and focusing on advanced MEMS applications,” summarises Dr. Paul Williams, Technical Director of Pegasus Chemicals. 
Picosun Oy (LINK)
Silex Microsystems (LINK)  
Pegasus Chemicals (LINK)

(*) Throughput calculated for 10 nm Al2O3, 90% system uptime.

Friday, November 24, 2017

The 7nm race by TSMC and Samsung - EUV or not EUV

According to industry sources on October 19, Samsung Electronics is considering a plan to purchase 10 extreme ultraviolet (EUV) lithography tools from the Netherlands-based ASML, the biggest semiconductor equipment maker in the world. To put tha in perspective - ASML believes that it can produce about 12 EUV lithography tools this year. It is the only company that manufactures EUV lithography tools in the world.

Sales in ALD and Etch equipment have been boosted by multiple patterning technologies based on Immersion lithography, both for Logic/Foundry and Memory. Maybe as much as 1/3 of the single/multi wafer ALD equipment market is patterning related. The last two years or so analyst have been busy trying to figure out the impact on deposition and etch equipment sales if/when EUV is introduced. Here is a recent take down by Seeking Alpha (LINK). My view is that scaling is based on symbiotic use of the latest technologies and multiple patterning and EUV will co-exist and keeping the scaling path alive. In addition, scaling opens new opportunities for ALD, ALEtch and future use of selective growth technologies with atomic scale precision. According to recent reports the ALEtch market segment is now considerd an actual segment by itself and has entered HVM (LINK).
Fudzilla reports: Korean based ETNews has mentioned that Qualcomm 7nm manufacturing has been a big win for TSMC while two other US and China customers chose Samsung’s 7nm. TSMC traditionially have dibs on Nvidia and MediaTek according to the report.

Qualcomm and Broadcom, according to the report are designing their next generation chips with TSMC’s7-nano PDK. The reason why Qualcomm went with 7nm with TSMC is the fact that the fab uses normal steppers while Samsung wants to make its 7nm with more bold and riskier EUV (Extreme Ultraviolet) photolithography technology.

View of Samsung Electronics’ Hwasung 17 line. It is expected that Samsung Electronics will build a new 7-nano plant on a nearby site according to ETNews.

Samsung is expected to be later to the 7nm game and early adopters had to go with TSMC. EUV is still technology that is not entirely ready for the mass market and there is a disagreement weather you should need to use Extreme Ultraviolet light manufacturing with 7nm or first with 5nm. Obviously the two main fabs disagree while GlobalFoundries cooperates and shares technology with Samsung, and will have Samsung to rely upon for 7nm.

Full article: Qualcomm 7nm made by TSMC [LINK]
ETNews original source: Samsung Electronics Close to Securing Two New Customers for Its 7-Nano Foundry[LINK]
Business Korea: Keeping Leadership in 7-nano Era Samsung Electronics Seeks to Buy Up Next-gen Semiconductor Mfg Equipment

Sunday, November 19, 2017

Atomic Layer Etching is entering HVM for 14, 10 and 7 nm Logic

After years in R&D, several fab tool vendors last year finally began to ship systems based a next-generation technology called atomic layer etch (ALE).

ALE is is moving into 16/14nm, but it will play a big role at 10/7nm and beyond. The industry also is working on the next wave of ALE technology for advanced logic and memory production.

Used by chipmakers for years, traditional etch systems remove materials on a continuous basis in devices. Considered a next-generation etch technology, ALE selectively removes targeted materials at the atomic scale without damaging other parts of the structure. For example, ALE can be used to remove materials in a structure to form trenches with gaps on the order of 10 to 15 angstroms or 5 atoms wide. (An angstrom is 0.1nm.)

 
Applied Materials Centris Sym3 is one of the Applied Materials offering for ALE. It can be configured to handle plasma ALE for anisotropic etch applications, such as self-align contacts and spacer-based patterning.

Applied Materials, Hitachi High-Technologies, Lam Research and TEL are among the suppliers of ALE tools in the market. Today, ALE represents a tiny percentage of the overall etch market. In total, the worldwide dry etch business is projected to reach $9.6 billion in 2017, up from $7.2 billion in 2016, according to Gartner.

Full article :  "What’s Next For Atomic Layer Etch?" by Mark Lapedus, Semiconductor Engineering (LINK)


Saturday, November 18, 2017

Arradiance and InRedox team up to offer ALD Functionalized Nanomaterials

SUDBURY, Mass., Nov. 17, 2017 —Arradiance’s formidable Atomic Layer Deposition (ALD) foundry service and InRedox’s world-class manufacturing of nanoporous anodic aluminum oxide (AAO) and nanotubular anodic titanium oxide (ATO) will provide new ALD-functionalized Nanoceramics for life sciences, nanotechnology, filtration and separation, energy generation and storage, analytical equipment and many other applications.

Arradiance LLC, manufacturer of the popular GEMStar family of professional, research grade Atomic Layer Deposition (ALD) systems and provider of nanofilm foundry services, today announced a partnership with InRedox LLC (Longmont, Colorado) to provide nanotechnology researchers with ALD-functionalized nanostructured materials (wafers, membranes and nanotemplates).

“InRedox’s innovative AAO / ATO wafers and templates have demonstrated utility at leading commercial, academic and government research institutions across a broad range of technology areas,” said Arradiance CEO Michael Trotter. “I am certain that the combination of Arradiance’s ALD nanofilms with InRedox’s powerful nanofabrication technology platform will spur exciting new developments,” explained Mr. Trotter.

“InRedox is excited by the potential of ALD functionalized nanoporous ceramics,” stated Dmitri Routkevitch, InRedox President and CTO, “and we look forward to working with Arradiance to continue to expand the range of nanomaterials that inspire and enable our customers in their pursuit of scientific breakthroughs or new products.”

Aixtron complete sale of ALD/CVD memory product line to Eugene Technology

AIXTRON SE (FSE: AIXA), one of the world’s leading providers of deposition equipment to the semiconductor industry, announced today that the sale of AIXTRON’s ALD and CVD memory product line to Eugene Technology Inc., a wholly owned U.S. subsidiary of Eugene Technology Co., Ltd, South Korea was completed as of November 15, 2017. 

The QXP-8300 Atomic Layer Deposition (ALD) mini-batch system suitable for e.g. high-k oxide films in advanced memory applications including 3D structure devices (aixtron.com)

AIXTRON will receive c. USD 60 million for the assets being transferred and c. USD 11 million for open supplier orders for which it retains the liability to pay.

AIXTRON, Inc., the U.S. subsidiary of AIXTRON SE situated in Santa Clara, California, will continue to provide sales and support for its continuing businesses.

Monday, November 13, 2017

Veeco's Q3 revenue growth driven by continued recovery in MOCVD market

For third-fiscal 2017, epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA has reported revenue of $131.9m, up 15% on $115.1m last quarter and up 54% on $85.5m a year ago, marking the first full quarter of combined results for Veeco and Ultratech Inc of San Jose, CA, USA (which designs and makes lithography, laser-processing and inspection systems for the advanced packaging, front-end semiconductor and LED markets), which was acquired on 26 May.

Source: Semiconductor Today LINK

Versum Materials Inc. (VSM) Issues Quarterly Earnings Results

Versum Materials Inc. (NYSE:VSM) posted its quarterly earnings data on Thursday. The basic materials company reported $0.47 EPS for the quarter, meeting the Thomson Reuters' consensus estimate of $0.47, Bloomberg Earnings reports. The firm had revenue of $294.50 million during the quarter, compared to analyst estimates of $281.39 million. 

Source: Zolmax News LINK

Versum Materials Slide Deck:  LINK

Friday, November 10, 2017

Picosun Oy shows record growth in ALD for fiscal year 2016/2017

Picosun is one of the leading suppliers of ALD thin film deposition technology for semiconductor, lightning, MEMS as well as for other industries and research. This week I had the chance to meet with Kustaa Poutiainen himself, Chairman and Chief Executive Officer of Picosun Oy. in Dresden. We had a very interesting meeting discussing recent developments in ALD Technology announced by Picosun as welal as discussing the past and future outlook of ALD.   

Mr Poutiainen was in Germany to meet with customers and overseeing Picosuns activities and expansion in Germany. In the European perspective Germany is the biggest market for ALD Technology and Equipment. For those of you who do not know, Picosuns ALD equipment portfolio in detail it ranges from fully automated ALD batch and cluster systems for high volume manufacturing to smaller scale R&D and pre-pilot production tools (see below). 

A snapshot of the Picosun products - more information here.
Picosun has recently opened a branch office in Germany - Picosun Europe GmbH, headed by General Manager Dr. Christoph Hossbach, serving its growing install base of ALD equipment on the German market, both at leading research institutes within Fraunhofer and other research organizations and wafer fabs. According to previous press releases made we know that they have an number of high value customers in Germany including Bosch Sensortech and Osram

Mr Poutiainen was very excited over the development of Picosun as a company and informed me that it ended its Fiscal year 2016/2017 in September by a record turnover growth of 27% landing at just above 21 million EUR for 2016/2017 and more details will be released soon. The company is constantly investing to develop it operations further globally adding new local teams and having a strong focus on R&D. Mr Poutiainen did also let me know that Picosuns R&D expenses are at about 20% of the actual turnover, which is considerable. Quoting Mr Poutiainen: “The most awarding proof of success of the company is to hire new members. We are looking to hire more than 30 new employees in the next expansion phase”.
Next you have a chance to meet Picosun at SEMICON Europa in Minich. Picosun is exhibiting as well as sponsoring the ALD Lab Saxony Symposium on the 14th of November.
ALD Lab Saxony Symposium : LINK
 



Saturday, November 4, 2017

Veeco wins preliminary patent infringement lawsuit with Chinese competitor AMEC

Veeco Instruments announced today that the United States District Court for the Eastern District of New York granted Veeco's motion for a preliminary injunction against SGL Carbon, LLC, a supplier of wafer carriers to Advanced Micro-Fabrication Equipment Inc. (AMEC). The injunction prohibits the sale of wafer carriers by SGL for use in susceptorless Metal Organic Chemical Vapor Deposition (MOCVD) systems using Veeco's patented technology, including wafer carriers designed for AMEC MOCVD systems.

Source: LEDinside LINK


AMEC was founded in 2004 and is based in Shanghai, China. AMEC manufactures and markets micro-fabrication equipment to semiconductor manufacturers and high-tech sectors including MOCVD equipment.

Solid State Laser manufacturer Lasertel Inc. purchases Oxford Instruments ICPCVD

Oxford Instruments Plasma Technology announce that Lasertel Inc of Arizona, US have recently purchased one of their advanced inductively coupled plasma chemical vapour deposition (ICPCVD) systems for the manufacture of Solid State Lasers (SSL).

Source: AZoM LINK

Thursday, November 2, 2017

SK Hynix to expand DRAM production capacity in China

SK Hynix recently struck a deal with China's city government of Wuxi to set up a new DRAM fab locally with total investment estimated at US$8.6 billion, according to China's local media reports. The new facility will be capable of processing 200,000 wafers built using 1Xnm technology when it becomes operational.

Source: DIGITIMES LINK


Wednesday, November 1, 2017

Veeco and ALLOS form Dresden, Germany demo 200mm GaN-on-Si wafers for blue/green micro-LED adoption

Veeco Instruments Inc of Plainview, NY, USA has completed a strategic initiative with technology engineering & IP licensing firm ALLOS Semiconductors GmbH of Dresden, Germany to demonstrate 200mm gallium nitride on silicon (GaN-on-Si) wafers for blue/green micro-LED production. Veeco teamed up to transfer ALLOS’ proprietary epitaxy technology onto its Propel single-wafer metal-organic chemical vapor deposition (MOCVD) system to enable micro-LED production on existing silicon production lines. 

Source: Semiconductor Today
LINK

Backgound on ALLOS GmbH:

Newly founded ALLOS Semiconductors offers AZZURRO patents and technology
Dresden, Germany – 15th December 2014 – GaN-on-Si technology expert ALLOS Semiconductors, founded little more than six months ago, announced today that it has acquired the exclusive ownership of all technology, know-how and intellectual property of former AZZURRO Semiconductors.



ASM International NV reports 3Q 2017 results - solid growth in ALD continues



Almere, The Netherlands October 31, 2017ASM INTERNATIONAL N.V. REPORTSTHIRD QUARTER 2017 RESULTS ASM International N.V. (Euronext Amsterdam: ASM) today reports its third quarter 2017 operating results.

· New orders at €160 million were 22% below the Q2 2017 level and 31% above last year's Q3 level.
· Net sales for the third quarter 2017 were €185 million, a decrease of 8% compared to the previous quarter. Year-on-year net sales increased with 28%.
· Operating result decreased to €26 million. This reduction is mainly related to the newly introduced products which are temporarily impacting the gross margin and had an effect of €9 million in Q3.
· Normalized net earnings for the third quarter 2017 decreased by €8 million compared to the second quarter 2017. The financing result included €8 million negative effects from currencies compared to €11 million negative effects in the second quarter. The result from investments increased with €1 million.



Commenting on the results, Chuck del Prado, President and Chief Executive Officer of ASM International said:

"In Q3, we realized sales of €185 million and an order intake of €160 million, well in line with our guidance. The sales included several deliveries of Intrepid Epitaxy and new PECVD applications. The initial margin on these newly introduced products is relatively low, as earlier indicated, and impacted our gross margin in the quarter with 5 percentage points. We expect our gross margin to normalize again in the course of 2018."

Source: FinanzNachrichten
LINK

According to the Investor presentation released by ASMI ALD is a key growth market as always:
  • ALD market expected to grow by double digits from ’15 to ’20-’21
  • ASMI has leadership position in ALD

ALD has been outperforming in 2011-2016
  • Sales grown at a CAGR of 11% in last six years, outperforming broader equipment market
  • Single wafer ALD market on track for a clear improvement in 2017 

ASM see solid growth expected for the ALD market for 2017 they expect a clear improvement in
the single wafer ALD market and they expect the single wafer ALD market to reach a size of approx. US$1.5 billion by 2020 to 2021

3Q 2017 Investor presentation: http://www.asm.com/Downloads/2017_Q3_Investor_presentation_.pdf