Monday, September 30, 2019

Chuck del Prado will step down as CEO of ASM International in May 2020

ASM International N.V. (Euronext Amsterdam: ASM) announces that Mr. Chuck del Prado, Chief Executive Officer, Chairman of the Management Board and President of the company has notified the Supervisory Board that he wishes to retire from the company at the Annual General Meeting of shareholders to be held in May 2020.

(ASM.com)

Chuck del Prado (58) joined ASM in 2001 and was appointed to the Management Board in 2006. In 2008, Mr. Del Prado was appointed as CEO, Chairman of the Management Board and President of the company.

Mr. Del Prado has indicated that he wishes to pursue a more family oriented life. Mr. Del Prado commented: “There is never a perfect moment to step down as CEO but given the commercial, financial and technological health of ASM, I believe the company is well positioned to undergo a change of leadership. ASM is part of my DNA. Next year I will have been with the company for almost 20 years and CEO for more than 12 years, in which period ASM has had my full focus and energy. This has not been an easy decision. I’m proud and very thankful to have had the opportunity to contribute to this exceptional company and to work with ASM’s great employees and customers.”

Jan Lobbezoo, Chairman of the Supervisory Board commented: “The Supervisory Board is grateful for the immense contribution of Chuck del Prado to the strong advancement of the company’s position in the semiconductor equipment industry. Under Chuck’s leadership – and building on the legacy of the late Arthur del Prado - ASM has become a globally leading player in semiconductor equipment, delivering excellent benefits to all stakeholders. The Supervisory Board will be sorry to see Chuck go, but we fully understand and respect his decision. He will leave behind a company that is excellently positioned to continue and further expand its leading position in the semiconductor equipment industry.”

A search to find a successor to Mr. Del Prado has started.

Wednesday, September 25, 2019

Beneq unveils Transform(TM), a versatile automated ALD platform for More-than-Moore device fabrication

Combination of thermal and plasma ALD, single wafer or batch processing, and a unique preheating module maximize options for flexible volume production

25 September, 2019, Grenoble, France – Beneq, a leading provider of ALD R&D and production technology, today announced the BENEQ TransformTM, a versatile ALD platform dedicated to More-than-Moore device fabrication.



The brand-new cluster design offers customers unparalleled flexibility by combining both thermal and plasma ALD with single wafer and batch processing, on a single automated platform. Fully compliant with industry quality and SEMI standards the BENEQ TransformTM is a one-stop ALD solution for Power Electronics, MEMS and Sensors, RF, LED, Photonics, and Advanced Packaging applications.

“Among hundreds of application requests brought to us by customers today, we are most excited by GaN devices for RF and Power applications as well as Photonics, both III-V and Silicon,” said Dr. Patrick Rabinzohn, Beneq’s Semiconductor Business Executive. “In particular surface passivation, gate dielectrics, nucleation layers and encapsulation layers are all well addressed with the BENEQ TransformTM.”

Truly Versatile


More than Moore (MtM) applications are evolving at a phenomenal pace. To be competitive manufacturers are adopting advanced thin film technologies. Often, they need to combine surface preparation, interfacial layer and functional layer deposition sequences - all in one tool.

Currently available ALD production systems offer only one type of ALD process - either thermal or plasma enhanced. This creates the need for a versatile tool that supports multiple deposition capabilities.

The BENEQ TransformTM confidently combines thermal and plasma ALD processing, on a single wafer or in batches, while easily configurable. It is capable of surface pre-treatment and can coat substrate materials at wafer sizes from 3 to 8 inches.

It works well for R&D, prototyping, as well as volume production. With the BENEQ TransformTM customers now have the option to run several different ALD processes on multiple devices and applications, all on a single footprint!

The most complete configuration offers two ALD process modules, pre-heating station, surface plasma treatment and wafer cooling.

Designed for the Fab


Unlike ALD production platforms featuring vertical flip functions, the TransformTM loads wafers in a horizontal position and seamlessly integrates with the rest of your production line. Such a loading mechanism is also proven to minimize handling issues, and particle generation associated with non-standard cassette batch loading systems.

The BENEQ TransformTM features a proprietary preheating module that eliminates hours of waiting time and boosts throughput to a whole new level (15 wph @50 nm Al2O3, in thermal mode). Throughput can be further increased by adding up to 2 more process modules, thermal or plasma.

The BENEQ TransformTM produces a wide range of oxides including Al2O3, HfO2, Ta2O5, TiO2, and SiO2, and nitrides such as AIN and TiN. What sets the TransformTM apart from other tools is its ability to scale up throughput and maintain the same uniformity regardless of the temperature, e.g. >400°C.

SEMI Certified


The BENEQ TransformTM shortens cycle time and speeds up fab adaptation by implementing SECS/GEM standards. It is fully compliant with SEMI S2/S8 for environmental, ergonomics and safe operations.

To learn more, visit www.beneq.com/transform.

About Beneq

Beneq is the home of ALD, offering a wide portfolio of equipment products and development services. Today Beneq leads the market with innovative solutions for flexible high-volume manufacturing (BENEQ TransformTM), advanced R&D (TFS 200, R2), ultra-fast high precision spatial ALD coatings (C2R), roll-to-roll thin film coating of continuous webs (WCS 600), and specialized batch production for thicker film stacks (P400, P800). Headquartered in Espoo, Finland Beneq is dedicated to making ALD technology accessible for researchers and providing the invisible advantage in emerging semiconductor applications.

Press Contact
Lie Luo
Beneq
lie.luo@beneq.com

 


Picosun appoints Jussi Rautee as CEO

ESPOO, Finland, Sept. 25, 2019 /PRNewswire/ -- ALD (Atomic Layer Deposition) equipment and solutions provider Picosun Group has appointed Mr. Jussi Rautee, 45, as the new Chief Executive Officer (CEO), starting from 1st October 2019 on. The appointment continues the changes made at Picosun this year. In June, Picosun received a significant investment from Finnish investors and expanded its ownership base when Capman, First Fellow Partners, and Tesi came along.
 

Mr. Kustaa Poutiainen, the current CEO, will continue as the Chairman of the Board of Picosun Group, and Mr. Juhana Kostamo, Managing Director of Picosun Oy, as the deputy CEO of the Group.

"Picosun is growing fast all around the world, and our ALD technology finds constantly new markets and applications. Jussi Rautee has strong experience in growth leadership in the realm of big, multinational corporations. We are sure that with his skills and expertise, Picosun's success will continue," says Poutiainen.

Jussi Rautee holds an M.Sc. in Engineering from Tampere University of Technology. Previously, he has worked in several senior leadership roles at ABB Group in Finland, Australia, USA, and latest in Poland. During these years, he has gained strong and proven track record to execute growth strategies, to lead large global operations and organizations and to develop people.

"It is great to join Picosun Group to take ALD to yet new application areas and industries. Picosun has the best team and technology, and I am convinced that together we can further strengthen the company's position in the growing market," continues Rautee.

Tuesday, September 24, 2019

TSMC to start volume production of EUV lithography based 5 nm chips in March 2020

TSMC to start volume production of EUV lithography based 5 nm chips in March 2020: Apple chipmaker TSMC is gearing up to carry out volume production of its next-gen chips based on 5 nm process technology as early as March, next year. And they could offer a major boost over this year’s A13 Bionic chips sitting in recently launched iPhone 11 trios.
 
 
Source: Cult of Mac (LINK

----------
AbhishekkumarThakur

Sunday, September 22, 2019

Intel is stepping up preparations for 7nm manufacturing with EUV Lithography

According to DigiTimes [LINK] Intel is stepping up preparations for 7nm manufacturing and has started placing equipment and materials orders for its 7nm EUV fabrication processes since August to attain the launch of its 7nm products by 2021 as it announced in May 2019.
 
 
Dr. Murthy Renduchintala, Intel’s chief engineering officer and group president of the Technology, Systems Architecture and Client Group, spoke at the 2019 Intel Investor Meeting in Santa Clara, California, on Wednesday, May 8, 2019. Slides from his presentation included information on process technology and packaging, 10nm "Ice Lake" processors, and Intel's innovation. (Credit: Intel Corporation)

7nm Status as of Intel Investment Forum May 2019 (LINK): Renduchintala provided first updates on Intel’s 7nm process technology that will deliver 2 times scaling and is expected to provide approximately 20 percent increase in performance per watt with a 4 times reduction in design rule complexity. It will mark the company’s first commercial use of extreme ultraviolet (EUV) lithography, a technology that will help drive scaling for multiple node generations.

The lead 7nm product is expected to be an Intel Xe architecture-based, general-purpose GPU for data center AI and high-performance computing. It will embody a heterogeneous approach to product construction using advanced packaging technology. On the heels of Intel’s first discrete GPU coming in 2020, the 7nm general purpose GPU is expected to launch in 2021. 
 
----------
By Abhishekkumar Thakur, Jonas Sundqvist

Tuesday, September 10, 2019

LG Technology Ventures & Mitsui Kinzoku-SBI Material Innovation Fund Join Forge Nano Inc. Series A

LOUISVILLE, Colo., Sept. 5, 2019 /PRNewswire/ -- Mitsui Kinzoku-SBI Material Innovation Fund and LG Technology Ventures join in Forge Nano's Series A, bringing the total investment to date to $18M, up from Volkswagen's initial $10M investment announced in January 2019.

The investment will help to accelerate advanced materials for new battery technologies while also broadening applications for atomic-level nano-coatings into a diverse set of new markets. Forge Nano's technology paves the way for entirely new applications for nanoscale surface engineering. Atomic layer deposition (ALD) is an ultra-thin film deposition process that allows precision coatings that are the thickness of one atom to be deposited one layer at a time onto a surface. Forge Nano's ALD enabled core-shell battery materials have been demonstrated to improve the energy density, charge rate, cycle life, and safety of lithium-ion batteries as well as to enable next generation battery technologies.

Beyond batteries, ALD nano-coatings are enabling the next era of higher performance materials for catalysts, 3D printing, thermal fillers, separations and an array of other new market applications. Virtually any application using industrial powders that benefit from tuned surface properties but require precise, uniform and conformal coatings that are chemically bonded to the surface can now use ALD nano-coatings to unlock the next level of performance and value.

"Forge's proprietary nano-coating technology and high-throughput manufacturing processes will open the door for a new stage of high performance materials. A collaboration between Forge Nano and Mitsui Kinzoku will accelerate the production of high performance materials and provide our customers high value products in various market."
-Mitsui Kinzoku-SBI Material Innovation Fund

About Mitsui Kinzoku-SBI Material Innovation Fund: Mitsui Kinzoku-SBI Material Innovation Fund was jointly established in 2017 by Mitsui Kinzoku and SBI Investment. Its investment target is start-up companies with material technologies, material manufacturing and processing know-how which are each likely to generate a business synergy effect with Mitsui Kinzoku's business.

About LG Technology Ventures: LG Technology Ventures was established in 2018 and is the venture capital investment arm of the LG Group of South Korea. The LG Technology Ventures team consists of experienced investors, entrepreneurs, technologists, and industry domain experts. Currently, LG Technology Ventures is managing over $400 million of fund assets and invests in early-stage information technology, automotive, manufacturing, life-sciences, energy, and advanced materials companies.

About Forge Nano: Based in Louisville, Colo., Forge Nano is a global leader in surface engineering and precision nano-coating technology. Forge Nano's proprietary technology and manufacturing processes make angstrom-thick coatings fast, affordable and commercially viable for a wide range of materials, applications and industries. Forge Nano's suite of ALD products and services covers the full spectrum from lab-scale to pilot and commercial-scale manufacturing systems. For more information visit www.ForgeNano.com

WZW-Optic AG orders multiple Veeco optical coating systems

WZW-Optic AG to Leverage Veeco’s SPECTOR®Systems—the Market’s Lowest-Loss Laser Optical Coating System—for Manufacturing Navigational Equipment 

Plainview, N.Y., Sept. 10, 2019—Veeco Instruments Inc. (Nasdaq: VECO) today announced that WZW-Optic AG, a Swiss leader in manufacturing high-end optics and precision optical components, has ordered multiple Veeco SPECTOR Ion Beam Sputtering (IBS) optical coating systems. WZW will leverage Veeco’s proven IBS technology, which produces the lowest optical loss films in the market, to manufacture high-performance laser mirrors for a range of applications, including ring laser gyroscope mirrors used for commercial aviation. These systems were sold in cooperation with Veeco’s channel partner in France, veonis Technologies EURL.

“For over 50 years, we’ve manufactured the highest quality optics solutions for customers around the globe,” said Claudio Meli, CEO, WZW. “Ultra high-end optics demand coatings with greater precision than ever before, and our selection of Veeco’s industry-proven SPECTOR systems ensures we can deliver superior quality coatings at the lowest optical loss level in the industry.”





According to Adroit Market Research the optical coatings market is expected to hit $25 billion by 2025, led by the advent of 5G, autonomous driving technology and an increased number of fiber networks using optical coatings. This has created a need for IBS systems like the SPECTOR platform that can achieve highly productive levels of precision and thin film process flexibility. As Veeco customers report, SPECTOR is a turn-key solution for meeting the yield and device performance requirements for virtually every high-end optical thin film fabrication application today.

“With over 300 tools in production worldwide, Veeco proudly has one of the largest installed bases of IBS tools for precision optics in the industry,” added Adrian Devasahayam, Ph.D., Senior Vice President, Product Line Management. “As the recognized leader in providing optical coating technology, we are confident that our SPECTOR systems will be a significant differentiator for WZW, a key supplier for high-end optical components.”

 

Sunday, September 8, 2019

China-Based Yangtze Memory Starts 64-Layer NAND Production

China based Yangtze Memory Technologies Co. (YMTC) has reportedly started volume production of 64-layer 3D NAND. The triple-level cell chips with 256GB capacity use the company’s proprietary Xtacking architecture for bonding two dies together. The Chinese firm has gradually increased its 3D NAND yield and will be ramping its Wuhan factory to 100,000 wafers per month in 2020; although, that could further grow to 150,000 wafers per month. 

In the Xtacking architecture, the chips are manufactured on two wafers. One wafer contains the ‘periphery’ CMOS logic, and the other one has the actual 3D NAND, based on common charge trap technology. The wafers are then bonded together with a process step, with billions of what it calls metal Vertical Interconnect Accesses (VIAs). Xtacking makes YMTC’s 64-layer 3D NAND within 80-90% the density of other’s 96-layer 3D NAND, it claimed. YMTC intends to skip the 96-layer generation and move directly to 128 layers.

Source: Tom´s Hardware LINK


Yangtze Memory Technologies Co., Ltd. (YMTC), established in Wuhan, China in July 2016, is an IDM memory company with a focus on the design, production and sales of 3D NAND flash memory chips. Leveraging on its wholly owned subsidiary XMCs existing 12-inch IC fab in Wuhan and the R&D capabilities developed through its international partnerships and domestic collaborations,YMTC has successfully designed and manufactured the first 3D NAND flash chips in China since 2017. With respective R&D Centers in cities such as Wuhan, Shanghai, and Beijing, YMTC is committed to becoming potentially a global leading NAND flash memory solution provider through persistent efforts and technical innovations.(http://www.ymtc.com/)

----------
By Abhishekkumar Thakur

Saturday, September 7, 2019

TSMC sees strong demand for 7nm node and its FinFET Plus EUV process for Huawei

[DigiTimes] The overall semiconductor market may be weak, but TSMC has seen strong demand for its 7nm manufacturing capacity, with orders, particularly those from Chinese clients, already extending to the first half of 2020, despite uncertainties arising from the US-China trade war. One of its major clients is Huawei, who is said to be releasing its Kirin 990 chip with an integrated 5G modem built using TSMC's 7nm FinFET Plus EUV process. 
 
While TSMC sits comfortably at the top of the foundry sector, the DRAM sector mostly sees a three-horse race between Samsung Electronics, SK Hynix and Micron Technology. And it is no surprise that a recent meeting reportedly between Micron CEO Sanjay Mehrotra and executives from China's Tsinghua Unigroup - which is keen to develop its own DRAM prowess - has sparked some speculation.
 
Source DigiTimes LINK
 
The Kirin 990 is the world's first mobile SoC with over 10 billion transistors. It is based on a 7nm EUV process that will also offer better power efficiency compared to processors with a separate 5G modem like the Kirin 980 + Balong 5000 combination. The 5G modem embedded into the mobile application processor can offer a download speeds of up to 2.3Gbps and upload speeds of 1.25Gbps. [LINK]

Tuesday, September 3, 2019

ALD - Why ASMI is doing so well

[BITS&CHIPS] Grueling work with Intel cemented the company’s position in the atomic layer deposition market, but the emergence of more ALD semiconductor applications was required for that investment to really pay off. The story of why ASM International is doing so well these days.

ASM International is on a roll. While the semiconductor industry has been experiencing a cold spell since mid to late 2018, the Almere-based semiconductor equipment maker has been posting one quarterly sales growth after the other. Last July, front-end sales even reached an all-time record – and the orders keep pouring in. Many of those are for ASMI’s atomic layer deposition (ALD) systems, which at the moment are its best selling product line, CEO Chuck del Prado noted in a conference call with investors, though he added demand is generally healthy in other businesses as well.
 
Full story: When persistence pays off: why ASMI is doing so well [LINK]
 
 
An ASM Pulsar 2000 form the year 2000 (Photo CAE LINK), which was used by many semiconductor fabs for the early development of ALD High-k in both logic and DRAM (Al2O3, ZrO2, HfO2, and others). One of the first high volume manufacturing applications was dielectric layers for GMR and TMR magnetic heads by, e.g., Seagate. The 200 mm single wafer ALD reactor was later made as a 300 mm reactor for the first roll-out of high-k ALD at Intel 45 nm node in 2007. The Pulsar was typical at the beginning used on the Polygon platform. However, now it is available on the new more productive XP series of the ASM platforms (LINK).