Sunday, June 17, 2018

Aledia selects Veeco Propel GaN MOCVD platform for large wafer 3D LED production

Veeco Instruments Inc. today announced that Aledia, a developer and manufacturer of next-generation 3D LEDs for display applications based on its gallium-nitride-nanowires-on-silicon platform, has selected Veeco’s Propel® GaN MOCVD system to support advanced research and development. Aledia noted the tool’s large process window, single-wafer reactor technology and defect stability as key factors in its decision to adopt the Propel system.

“We believe that the opportunity for our breakthrough nanowire-LED display technology on large-area silicon is very large, and we need the best and most scalable technology available to support our continued R&D around 3D display applications—we believe Veeco is best positioned,” stated Giorgio Anania, CEO, chairman and co-founder of Aledia. “Veeco’s cutting-edge Propel system delivers unsurpassed results, and very good homogeneity throughout the entire wafer, making it the best choice and one we know will help us continue to push the limits of innovation.”
 
Source:  SolidState Technology (LINK)

Aleida 3D Microwire LED Technology

Aledia is the first company to grow high-density, coaxial gallium nitride (GaN) microwires directly onto large-diameter 200mm silicon wafers (extendable to 300mm wafers) using low-cost processes that are fully compatible with the back end of line of today's semiconductor foundries. When processed these nanowires become LEDs.
 
Aledia's 3D nanowire-on-Silicon LED technology enables the next generation of displays, that can be brighter, can give longer battery life, can have more stable colors, and can be mass manufactured on existing foundries at very competitive cost points. (Aledia.com)
 
 
3D (Microwire) LEDs:
  • Large, economical substrate
  • Fast MOCVD growth process (low capital expenditure)
  • Low materials consumption
  • Existing high-volume silicon wafer fabs
  • Light emission area = up to 3X the 2D area = more light/mm2 or less current density, less efficiency droop
  • Multiple colors on one wafer or even on one chip
 

Thursday, June 14, 2018

Record Equipment Spending Continues in 2018 and 2019

[SEMI] The semiconductor industry is nearing a third consecutive year of record equipment spending with projected growth of 14 percent (YOY) in 2018 and 9 percent in 2019, a mark that would extend the streak to a historic fourth consecutive growth year, according to the latest update of the World Fab Forecast report published by SEMI. The industry last saw four consecutive years of equipment spending growth in the mid 1990s.

  • Korea and China are leading the growth, with Samsung dominating global spending and ascendant China on a fast, steep rise, surging ahead of all other markets.
  • The Europe and Mideastern region will boost investments by 12 percent in 2018, with Intel, GLOBALFOUNDRIES, Infineon and ST Microelectronics as the largest contributors.
  • Japan is beefing up equipment spending by 60 percent in 2018, with the largest increases by Toshiba, Sony, Renesas and Micron.
  • Southeast Asia will increase investments by more than 30 percent in 2018, although total spending is proportionately smaller than in other regions owing to its size. The main contributors are Micron, Infineon and GLOBALFOUNDRIES, though companies including OSRAM and ams are also increasing investments.
  • Americas, i.e., USA grows slightly back to previous level of USD 5 to 6 billion.   
 
Source : SEMI Blog (LINK)
 
 equipment spending by region (includes new and refurbished)

Tuesday, June 12, 2018

Oxford Instruments swings back into profit

Oxford Instruments swung back to a profit in the year to the end of March 2018, driven by a strong performance from its materials and characterisation business and favourable currency movements.
Pre-tax profit came in at £34.2m versus a loss of £26.2m in 2017, although revenue slipped 1.1% to £296.9m.

Meanwhile, reported orders were up 5% to £313m, or 5.8% higher at constant currency, while net debt fell to £19.7m from £109.3m thanks to good operating cash flow and proceeds from the sale of Industrial Analysis.

Adjusted basic earnings per share rose 35.7% to 56.3p and the full-year dividend was lifted 2.3% to 13.3p a share.

The company, which provides high-technology products and systems for industry and research, saw good growth in the early implementation of its Horizon Strategy and a strong financial performance across materials & characterisation, driven by leading product portfolio and customer applications focus in growing markets.

It also saw a good second half performance in research & discovery, which was offset by a weaker first half, while profit and margin growth in the service & healthcare division was driven by services relating to its own products.

Chief executive Ian Barkshire said: "We have made good progress in the year with the early implementation of the Horizon strategy, which was introduced in May 2017.

"We have positioned the group to become a leading provider of high technology products and services to the world's leading industrial companies and scientific research communities to image, analyse and manipulate materials down to the atomic and molecular level. Our chosen end markets remain robust and, combined with our customer applications focus and improved core capabilities, provide strong long-term drivers for future growth and margin improvement."

Saturday, June 9, 2018

TSMC Moving 7nm Chip Process To Mass Production Early

Taiwanese semiconductor giant TSMC has announced that it will be putting its 7nm process into mass production earlier than planned in order to meet demand from clients. Processor makers like MediaTek, Huawei’s HiSilicon, NVIDIA and Qualcomm are all moving into 7nm design and production, and TSMC wants to be able to continue providing them with the silicon they need to get their products up and running. In order to do this, TSMC will speed ahead of the likes of Samsung and Intel, and work to make its 7nm product available to clients as soon as possible.

Source: LINK

Entegris announces agreement to acquire SAES Pure Gas business

Entegris, Inc. (NASDAQ: ENTG), a distributor of specialty chemicals and advanced materials solutions, announced today it has entered into a definitive agreement to acquire the SAES Pure Gas business, from SAES Getters S.p.A. (“SAES Group”), an advanced functional materials company headquartered in Milan, Italy. The SAES Pure Gas business, a provider of high-capacity gas purification systems used in semiconductor manufacturing and adjacent markets is based in San Luis Obispo, California and will report into the Microcontamination Control division of Entegris. Under the agreement, Entegris will purchase the shares and assets which comprise the SAES Pure Gas business for approximately $355 million, subject to customary purchase price adjustments.
 
Source: LINK

Wednesday, June 6, 2018

Applied Materials enables cobalt contact & interconnect for 7nm with pre-clean, PVD, ALD and CVD – on the Endura® platform

At IEDM 2017 in December both Intel and Globalfoundries presented cobalt encapsulation (liner and cap) for copper local interconnects as well as Co fill contacts for their 10nm resp 7nm technologies. Since then many have wondered about the unit process details behind the new cobalt integration and here we have it - The Applied Materials complete cobalt solution as announced yesterday. Especially interesting that TiN ALD also is used as a cobalt seed/adhesio/dufusion barrier for cobalt contacts. The most interesting stuff you will finde here: LINK
[SANTA CLARA, Calif., June 05, 2018]  Applied Materials, Inc. today announced a breakthrough in materials engineering that accelerates chip performance in the big data and AI era.

In the past, classic Moore’s Law scaling of a small number of easy-to-integrate materials simultaneously improved chip performance, power and area/cost (PPAC). Today, materials such as tungsten and copper are no longer scalable beyond the 10nm foundry node because their electrical performance has reached physical limits for transistor contacts and local interconnects. This has created a major bottleneck in achieving the full performance potential of FinFET transistors. Cobalt removes this bottleneck but also requires a change in process system strategy. As the industry scales structures to extreme dimensions, the materials behave differently and must be systematically engineered at the atomic scale, often under vacuum. 
To enable the use of cobalt as a new conducting material in the transistor contact and interconnect, Applied has combined several materials engineering steps – pre-clean, PVD, ALD and CVD – on the Endura® platform. Moreover, Applied has defined an integrated cobalt suite that includes anneal on the Producer® platform, planarization on the Reflexion® LK Prime CMP platform and e-beam inspection on the PROVision™ platform. Customers can use this proven, Integrated Materials Solution to speed time-to-market and increase chip performance at the 7nm foundry node and beyond. 

“Five years ago, Applied anticipated an inflection in the transistor contact and interconnect, and we began developing an alternative materials solution that could take us beyond the 10nm node,” said Dr. Prabu Raja, senior vice president of Applied’s Semiconductor Products Group. “Applied brought together its experts in chemistry, physics, engineering and data science to explore the broad portfolio of Applied’s technologies and create a breakthrough Integrated Materials Solution for the industry. As we enter the big data and AI era, there will be more of these inflections, and we are excited to be having earlier and deeper collaborations with our customers to accelerate their roadmaps and enable devices we never dreamed possible.”

While challenging to integrate, cobalt brings significant benefits to chips and chip making: lower resistance and variability at small dimensions; improved gapfill at very fine dimensions; and improved reliability. Applied’s integrated cobalt suite is now shipping to foundry/logic customers worldwide.

Applied Materials, Inc. (Nasdaq:AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible the technology shaping the future. Learn more at www.appliedmaterials.com.

Sunday, June 3, 2018

The Foundries are aiming at AI chip orders in China with 10/14nm advanced process technologies

[Cage Chao, Taipei; Jessie Shen, DIGITIMES] Semiconductor Manufacturing International (SMIC), and the China-based production subsidiaries of both Globalfoundries and United Microelectronics (UMC) are looking to expand their advanced node offerings for the China market to include 10/14nm as they vie for orders from the local AI chip supply chain, according to industry sources.

Taiwan Semiconductor Manufacturing Company's (TSMC) newly-established Nanjing fab, which has entered directly 16nm FinFET chip production since April 2018, has enjoyed robust orders from China-based AI chip developers eager to accelerate the adoption of advanced process technologies, the sources indicated.

China-based foundries including SMIC, and Globalfoundries' and UMC's production subsidiaries locally have informed their customers they will be capable of providing 10/14nm node manufacturing starting the second half of 2018 or 2019, the sources disclosed.These foundries are looking to catch up with TSMC in China's market for advanced process technologies, the sources said.

Source: Digi Times LINK

Friday, June 1, 2018

Globalfoundries updates on 7 nm and may skip 5 nm

[AnandTech]High-ranking executives of GlobalFoundries this month gave several updates concerning future plans of the contract maker of semiconductors. As it appears, in a bid to provide more tangible advantages to its customers and not to invest in short-lasting nodes, the company is mulling skipping 5 nm manufacturing technology like it did with the 10 nm fabrication process. In addition, the company admits that its only leading-edge fab may not have enough capacity for all of its customers at 7 nm, and some of its clients may need to work with other foundries to meet their demand. 
 
7LP On Track for HVM, But Capacities Are Concern Gary Patton, CTO of GlobalFoundries, said in an interview with EETimes that the company’s first-generation 7LP process technology (7 nm leading performance), which relies exclusively on DUV step and scan systems, is on-track for high-volume manufacturing in the second half of this year. One of the first customers to use this tech will be AMD, which briefly announced its 7LP Vega GPU for a couple of times already. Not everything is rosy with 7LP though.

Full article : LINK