Thursday, March 26, 2020

NCD supplied two Lucida M300 ALD systems for R&D to KANC

NCD has recently supplied 2 Lucida M300PL ALD systems to KANC. Lucida M300PL-O is the equipment for oxide deposition with Ozone and Plasma process, and Lucida M300PL-M is that for metal deposition with Plasma process.

These wafer process equipment have the specification below

1) System: Lucida M300PL-O, Lucida M300PL-M

2) Substrate: Wafer 300mm

3) Deposition Materials:

- Lucida M300PL-O: Al2O3, TiO2, ZrO2, ZnO, HfO2, Ta2O5

- Lucida M300PL-M: Co. Ru, W, Ir, TiN, TaN

KANC, which is the most prestigious institute of Korea on nanotechnology, is using Lucida M300PL to investigate cutting edge semiconductor development and promising applications in MEMS and IoT. So it will be expected that these systems will contribute very much to the development of high-end nanotechnology.

NCD will do best to be the best ALD equipment company with continuous R&D efforts. 
 
 
 
< Lucida M300PL ALD >

Friday, January 24, 2020

2020-04 ALD Financial News Brief

TSMC Expects 10% Revenue Share & HPC Products From 5nm In 2020 - Confirms Customer Shift To 2nd Gen 5nm In 2021

Wccftech reports that TSMC latest manufacturing node marketed as '7nm' has enabled Santa Clara-based application processor and graphics processing unit designer Advanced Micro Devices Inc. to compete effectively with Intel Corporation in the microprocessor arena. The post TSMC Expects 10% Revenue Share & HPC Products From 5nm In 2020 - Confirms Customer Shift To 2nd Gen 5nm In 2021 by Ramish Zafar appeared first on Wccftech.

LINK

Scaled perovskite solar modules pass three critical stability tests

Eindhoven (Netherlands), Genk (Belgium) January 23, 2020 – Solliance partners TNO, imec and the Eindhoven University of Technology, demonstrated encapsulated perovskite solar modules fabricated using industrial processes that withstand three established lifetime tests, i.e. the light soak test, the damp-heat test and the thermal cycling test. It is for the first time this milestone is passed with scaled perovskite solar modules prepared by research organizations.

Program release - EFDS ALD for Industry 2020 in Freiburg, Germany (March 31-April 1)

A topical workshop with a focus on industrialization and commercialization of ALD for current and emerging markets

Atomic Layer Deposition (ALD) is used to deposit ultraconformal thin films with sub-nm film thickness control. The method is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. Today, ALD is a critical technology in leading-edge semiconductor technology, and the field of application in other industries is increasing rapidly. According to the market estimates, the equipment market alone is currently at an annual revenue of US$ 1.8-1.9 billion (2018), and it is expected to double in the next 4-5 years. In a European context, ALD was invented independently twice in Europe (Russia & Finland), and since the last 15 years, Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment, and end-users.


Thursday, January 16, 2020

2020-03 ALD Financial News Brief

ASM International announces Q4 2019 orders substantially above guidance

Reflecting stronger than expected market conditions, order intake in Q4 2019 came in slightly above €370 million, substantially above the range of €290-310 million that ASMI guided for with the publication of the Q3 2019 results on October 30, 2019. Based on preliminary numbers, sales in Q4 2019 slightly exceeded the high end of the guidance of €310-330 million.

Both Q4 orders and preliminary sales mentioned above, as well as previous Q4 guidance,, do not include the earlier announced proceeds of US$61 million (approximately €56 million) related to the settlement of the arbitration proceeding with Kokusai Electric Corporation.

ASMI will report fourth quarter and full-year 2019 financial results on February 25, 2020.

Picosun's ALD Technology Enables 3D Silicon-Integrated Microcapacitors With Unprecedented Performance

Picosun Group, a global provider of leading AGILE ALD® (Atomic Layer Deposition) thin-film coating solutions, reports record performance of silicon-integrated, three-dimensional deep trench micro capacitors manufactured using its ALD technology. Increasing efficiency and performance demands of portable and wearable electronics, along with their shrinking size in accordance with the Moore's law, set new challenges to the power management of these devices as well. A solution is the further integration of the devices' key components into so-called SiP (systems-in-package) or SoC (systems-on-chip) architectures, where everything, including the energy storage such as batteries or capacitors, is packed close to each other into one compact, microscale-miniaturized assembly. The post Picosun's ALD Technology Enables 3D Silicon-Integrated Microcapacitors With Unprecedented Performance appeared first on Semiconductor Digest. 

Metal mask coating by ALD for reliable plasma process in PECVD

NCD has steadily developed large area and high throughput ALD equipment and technology. The applications for display, solar cell, and semiconductor fields have been already commercialized, and also NCD has worked hard to find use in special markets like excellent plasma protective coating on metal masks. NCD will aggressively respond to the development and then supply of ALD equipment, which customers would need for various industries in the future.

TSMC is being pressured to make chips in the US because of security concerns

The United States has increased its pressure on the Taiwan Semiconductor Manufacturing Company, aka TSMC, for producing its military-use chips in the country. This is being done to ensure that the world's biggest contract chipmaker can manufacture the high-security components free from potential Chinese interference. 
The post TSMC is being pressured to make chips in the US because of security concerns appeared first on Gizmochina. 

Friday, January 10, 2020

Advanced Energy Announces Grand Opening of State-of-the-Art Advanced Materials Processing Showcase Lab Near Frankfurt

Global leader in power solutions for advanced thin film development to unveil new German hub for critical plasma deposition applications and materials characterization to serve clients from around the world

FORT COLLINS, Colo.--(BUSINESS WIRE)--Dec. 10, 2019-- Advanced Energy Industries, Inc. (Nasdaq: AEIS) – a global leader in highly engineered, precision power conversion, measurement and control solutions – is pleased to announce the grand opening of its Advanced Materials Processing (AMP) Showcase Lab near Frankfurt, Germany. Located in Karlstein am Main, the state-of-the-art facility includes office space and lab space for plasma deposition and materials characterization. The lab will serve as a central hub for AE product demonstrations and customers’ plasma deposition research and development activities, providing a superior experience for thin film developers.

This press release features multimedia. View the full release here: https://www.businesswire.com/news/home/20191210005367/en/


AE’s plasma lab multi-chamber inline coater showing substrate carrier and vacuum load lock in the foreground and with various gas handling cabinets and AE’s power supplies in racks in the background. This equipment includes but is not limited to planar and rotatable dual magnetron sputtering (DMS), and has plasma etch pre-cleaning capability. Various substrate sizes from small experimental coupons (e.g. glass, sapphire, silicon and more) up to 500x600 mm2 rectangular sheets (e.g. glass, plexiglass, plastic, metal and more) can be utilized. (Photo: Business Wire)

Saturday, January 4, 2020

AMD is gaining on Intel rapidly

WCCFTech reports (LINK) that in a survey report of machines running PassMark (Australian CPU benchmarking company), the company reported AMD's market share increasing to 40% for the first time in a very long time. Lats time Intel and AMD were close were in 2005 when AMD was still operating its Fab in Dresden, Germany.

AMD has since then moved to fabricate its processors first at Globalfoundires and then moved over to TSMC. The current Zen 2 based processors are made using TSMC 7 nm while Intel runs its own 14 and 10 nm technology. Intel 10 nm and TSMC 7 nm are roughly comparable when it comes to scaling dimensions.

This graph counts the baselines submitted to PassMark during the period and therefore is representative of CPUs in use rather than CPUs purchased (PassMark).

TSMC to soon start production of 5nm node based Apple A14 SoC

TSMC will be the sole foundry making 5 nm process technology based Apple A14 chipsets for the 2020 iPhone models and the production will start in the second quarter of this year in preparation for the traditional end of Q3 launch. The A14 will be made using TSMC’s new 5 nm process and Apple’s order will take up two thirds of the foundry’s capacity. There’s a chance the iPhone 12 phones will be the first to hit the market with a 5nm chipset.

Source: GSM Arena (LINK)
----------
By Abhishekkumar Thakur

Tuesday, December 10, 2019

Argonne National Laboratory Installs Forge Nano’s Prometheus ALD tool to enable next gen ALD research and innovation.


[Press release, Forge Nano, LINK] LOUISVILLE, CO., October 2019 — Delivery and installation of Forge Nano’s industry leading, lab-scale ALD tool- Prometheus has been completed.

Forge Nano’s Prometheus tool is a lab-scale R&D tool designed to make ALD research approachable and affordable. The Prometheus series of ALD tools have been designed to be the world’s most robust, flexible, and economical ALD tools available. Designed with the lab environment in mind, applying nanoscale encapsulating coatings on milligrams to kilograms of powders has never been more attainable. It can also be used to coat small objects.

The Prometheus system accommodates up to 8 precursors, including basic delivery and low vapor pressure delivery draw systems to handle gas, liquid, and solid precursor recipes with ease. (www.foregnano.com)

The U.S. Department of Energy’s Argonne National Laboratory recently commissioned Forge Nano to build and install their revolutionary ALD tool- Prometheus. The Prometheus tool will extend Argonne’s expertise and capabilities to perform a broader range of cutting-edge ALD research on site in a safe, consistent, and reliable manner.

Prometheus features:
  • Largest capacity and throughput. The Prometheus tool is the only commercially available fluidized bed atomic layer deposition (ALD) tool that can process up to 1 kg batches of cathode.
  • Swappable reactor sizes to precisely coat milligrams to kilograms of material
  • Proprietary fluidization aids (jet assist) that enable fluidization and ALD deposition on powders that cannot be successfully and conformally coated on other systems.
  • Inert isolation and handling capabilities of substrates.
  • Proprietary precision-dosing design that allows for higher precision and repeatability of precursor dosing than other equipment on the market
  • Non-discriminant precursor capabilities that allows for precursor types and sizes that other equipment cannot accommodate.

Forge Nano’s is uniquely positioned in the market to enable scale-up from lab to manufacturing so that developed IP has a pathway to commercialization. Our line of tools covers the entire product cycle, from R&D, to pilot scale, to complete manufacturing implementation. Forge Nano’s team of ALD experts guide our customers through the entire process, making ALD feasible for nearly any industry.

“We are excited to see Prometheus installed at Argonne National Laboratory adding to their world-class ALD capabilities. We cannot wait to see the new and exciting innovations that the team at Argonne will develop, using our technology and tools.” –Dr. Paul Lichty

Advanced Energy Announces Grand Opening of State-of-the-Art Advanced Materials Processing Showcase Lab Near Frankfurt

FORT COLLINS, Colo.--(BUSINESS WIRE)--Dec. 10, 2019-- Advanced Energy Industries, Inc. (Nasdaq: AEIS) – a global leader in highly engineered, precision power conversion, measurement and control solutions – is pleased to announce the grand opening of its Advanced Materials Processing (AMP) Showcase Lab near Frankfurt, Germany. Located in Karlstein am Main, the state-of-the-art facility includes office space and lab space for plasma deposition and materials characterization. The lab will serve as a central hub for AE product demonstrations and customers’ plasma deposition research and development activities, providing a superior experience for thin film developers. 
AE’s plasma lab multi-chamber inline coater showing substrate carrier and vacuum load lock in the foreground and with various gas handling cabinets and AE’s power supplies in racks in the background. This equipment includes but is not limited to planar and rotatable dual magnetron sputtering (DMS), and has plasma etch pre-cleaning capability. Various substrate sizes from small experimental coupons (e.g. glass, sapphire, silicon and more) up to 500x600 mm2 rectangular sheets (e.g. glass, plexiglass, plastic, metal and more) can be utilized. (Photo: Business Wire)

Global Semiconductor Equipment Sales Forecast – 2020 Rebound, 2021 Record High

[Press release, Semi, LINK] TOKYO – December 11, 2019 – Global semiconductor manufacturing equipment sales will drop 10.5 percent to $57.6 billion in 2019 from last year’s historic peak of $64.4 billion but stage a 2020 recovery and set a new high in 2021, SEMI, the global industry association representing the electronics manufacturing and design supply chain, reported today in its Year-End Total Equipment Forecast. 



Released at SEMICON Japan 2019, the forecast shows equipment sales registering a 5.5 percent increase to $60.8 billion in 2020 and continued expansion into 2021, with record revenues of $66.8 billion as leading device manufacturesinvest in sub-10nm equipment, especially for foundry and logic.

The SEMI year-end forecast shows sales of wafer fab equipment – consisting of wafer processing, fab facility and mask/reticle equipment – falling 9 percent in 2019 to $49.9 billion. The assembly and packaging equipment segment is on track to decline 26.1 percent to $2.9 billion in 2019, while semiconductor test equipment is forecast to drop 14.0 percent to $4.8 billion this year.

Taiwan will dethrone Korea as the largest equipment market and lead the world with 53.3 percent growth this year, followed by North America with a 33.6 percent uptick. China will maintain the second spot for the second consecutive year, and Korea will fall to third after throttling back capital expenditures. All regions tracked except Taiwan and North America will contract this year.

SEMI expects the 2020 equipment market recovery to be fueled by advanced logic and foundry, new projects in China, and, to a lesser extent, memory. In Europe, equipment sales will surge 45.9 percent to $3.3 billion. Taiwan is forecast to remain the top equipment market next year on the strength of $15.4 billion in sales, with China second at $14.9 billion and Korea third at $10.3 billion. More upside is likely if the macroeconomy improves and trade tensions subside in 2020.

In 2021, all sectors tracked are expected to grow and the memory spending recovery will hit full stride. China is expected to ascend to the top position with equipment sales of more than $16 billion, followed by Korea, and Taiwan.

The Year-End Total Equipment Forecast is based on SEMI's industry-recognized World Fab Forecast database and input from equipment manufacturers. Total equipment includes wafer processing, fab facilities, mask/reticle, total test, and assembly and packaging equipment.

The following results reflect market size in billions of U.S. dollars.

Monday, November 18, 2019

Intel to Reclaim Number One Semiconductor Supplier Ranking in 2019

According to IC Insights, Intel is to reclaim the number one semiconductor supplier ranking in 2019 from Samsung due to the downturn in Memory (DRAM and NAND). The top 3 memory suppliers (Samsung, SK Hynix, and Micron) are according to IC Insights forecast to register ≥29% year-over-year declines in 2018 with SK Hynix expected to decline the most by a 38% down in sales 2019.


The expected top 15 semiconductor (IC and O-S-D—optoelectronic, sensor, and discrete) sales ranking for 2019 is shown above.  It includes six suppliers headquartered in the U.S., three in Europe, two each in South Korea, Japan, and Taiwan.

Source: IC Insights (LINK)

Monday, November 11, 2019

ASM International launches A400(TM) Duo vertical furnace system with dual reactor chambers

New system addresses 200mm applications with high productivity and low cost of ownership

Munich - ASM International N.V. (Euronext Amsterdam: ASM LINK) today introduced the A400™ DUO vertical furnace system with dual reactor chambers for wafer sizes of 200mm and smaller. The system’s DUAL Boat reactors produce high throughput, increasing reactor utilization to a very high percentage, while ensuring low capex.

“The new A400™ DUO reactor ensures that ASM will extend its position as a leader in the market for Power, Analog, RF, and MEMS applications,” said Hichem M’Saad, ASM Executive Vice President, Global Products. “As 200mm manufacturing began its renaissance, driven by growth in for instance IoT devices, it became clear that our existing furnace technology could still achieve industry-leading results. Combining our technology with the latest innovations in robotics and controls has significantly enhanced the system’s manufacturing capabilities to meet today’s production targets.”



The new DUO is compatible with the original A400™, so existing process recipes can be easily transferred, accelerating system ramp. The system has secured production qualification from multiple customers in Europe, the United States and Asia, including several leaders in power, RF, and MEMS device manufacturing. To date over 20 reactors have been shipped, with a healthy outlook for further shipments.

ASM’s original A400™ vertical furnace system has a proven track record of more than 1000 reactors shipped to customers worldwide and over 25 years of maturity in semiconductor manufacturing. The new system has been modernized to support a variety of growing markets including silicon power, wide band gap semiconductor power, analog, RF and MEMS devices. With its updated control system, software with an intuitive graphical user interface, predictive maintenance by advanced control diagnostics, new robot, and plug-and-play installation, customers can count on the A400™ DUO delivering increased reliability with production output that achieves better repeatability, productivity, and time utilization.

Like its predecessor, the A400™ DUO offers a comprehensive portfolio of process applications including low pressure chemical vapor deposition (LPCVD) processes like doped silicon and silicon nitride films, diffusion processes such as wet oxidation and anneal processes.

Sunday, November 3, 2019

Global MEMS and Sensors Fab Capacity to Grow 25 Percent Through 2023, SEMI Reports

MILPITAS, Calif., Oct. 29, 2019 /PRNewswire/ -- Total worldwide installed capacity for MEMS and sensors fabs is forecast to grow 25 percent to 4.7 million wafers* per month from 2018 to 2023, driven by explosive demand across communications, transportation, medical, mobile, industrial and other Internet of Things (IoT) applications, according to the new MEMS & Sensors Fab Report to 2023 published by SEMI.


Listing more than 230 companies with over 400 facilities, the report is the first of its kind focused on MEMS and sensors front end facilities. The report, spanning the 12 years starting in 2012, predicts that MEMS fabs will account for 46 percent of all MEMS and sensors facilities by 2023. Image sensors fabs will represent 40 percent of the total, and other fabs – those producing both MEMS and image sensors – the remaining 14 percent.

Japan led the world in MEMS and sensors capacity in 2018, followed by Taiwan, the Americas, and Europe/Mideast. China is on track to rise from the sixth position this year to the third largest region in installed capacity by 2023. Japan and Taiwan are expected to maintain the top two positions through 2023.

The MEMS and Sensors Fab Report to 2023 shows fab equipment investments hovering at about US$4 billion per year from 2018 to 2023, with most of the spending – an estimated 70 percent – devoted to fabs for image sensors made on 300mm wafer sizes. During the same period, Japan's fab equipment investment is expected to peak at nearly US$2 billion in 2020, with Taiwan topping out at US$1.6 billion in 2023.

All told, 14 new device volume fabs will be added from 2018 to 2023** for MEMS and sensors made on wafers ranging from 8 inches to 12 inches in size. China shows the largest increase in new volume fabs followed by Japan, Taiwan and Europe.

The MEMS & Sensors Fab Report to 2023 includes details on individual fabs such as location, technologies and products, as well as quarterly data from 2012 to 2023 for the following:
Construction and equipment investments
Installed capacities
Wafer sizes
Process nodes

SEMI Industry Research and Statistics developed the MEMS & Sensors Fab Report to 2023 in collaboration with the MEMS & Sensors Industry Group, a SEMI Strategic Association Partner. To learn more about the MEMS & Sensors Fab Report to 2023, click here.

*200mm equivalent capacity – excludes R&D, Pilot and EPI lines
**Excludes R&D, Pilot and EPI lines and fabs with low probabilities of being built

About SEMI

SEMI® connects more than 2,100 member companies and 1.3 million professionals worldwide to advance the technology and business of electronics design and manufacturing. SEMI members are responsible for the innovations in materials, design, equipment, software, devices, and services that enable smarter, faster, more powerful, and more affordable electronic products. Electronic System Design Alliance (ESD Alliance), FlexTech, the Fab Owners Alliance (FOA) and the MEMS & Sensors Industry Group (MSIG) are SEMI Strategic Association Partners, defined communities within SEMI focused on specific technologies. Visit www.semi.org to learn more, contact one of our worldwide offices, and connect with SEMI on LinkedIn and Twitter.

Association Contact

Michael Hall/SEMI
Phone: 1.408.943.7988
Email: mhall@semi.org

Thursday, October 31, 2019

ASM International reports third quarter 2019 results

ASM International N.V. (Euronext Amsterdam: ASM) today reports its third quarter 2019 operating results (unaudited) in accordance with IFRS.

FINANCIAL HIGHLIGHTS
EUR million
Q3 2018
Q2 2019*
Q3 2019
New orders
258.0
373.1
291.8
Net sales
195.7
363.3
271.2
Gross profit margin %
40.9%
59.0%
42.4%
Operating result
28.0
150.2
50.6
Result from investments (excluding amortization intangible assets resulting from the sale of ASMPT stake in 2013)
16.8
2.0
6.5
Amortization intangible assets (resulting from the sale of ASMPT stake in 2013)
(3.1)
(3.4)
(3.5)
Net earnings
39.1
121.6
53.5
Normalized net earnings (excluding amortization intangible assets resulting from the sale of ASMPT stake in 2013 and result from sale of ASMPT shares)
42.2
125.0
56.9
* Including effects of litigation settlement

  • New orders of €292 million driven by foundry and logic, 8% above Q2 2019 (€270 million excluding patent litigation settlement).
  • Net sales for the Q3 2019 were €272 million, 4% up compared to the previous quarter (€260 million excluding patent litigation settlement).
  • Gross profit margin was 42.4% in Q3 2019 compared to 59.0% Q2 2019 (42.8% excluding patent litigation settlement).
  • Operating result of €51 million up €4 million compared to the previous quarter (€47 million excluding patent litigation settlement).
  • Normalized net earnings for the third quarter 2019 decreased by €68 million compared to Q2 2019, excluding the patent litigation settlement net earnings in Q3 showed an increase.

COMMENT

Commenting on the results, Chuck del Prado, President and Chief Executive Officer of ASM International said:

"In Q3 we realized sales of €271 million. Excluding the litigation settlement, this is 4% above the Q2 level, reaching again a new record level, driven by continuous high demand in the logic/foundry segment. Our order intake, at €292 million was well above our guidance of €250-270 million driven by strong pull-ins from our logic/foundry customers. Our cash position in the quarter increased again substantially, partly due to the receipt of 50% of the US$115 million cash proceeds from the litigation settlement."

OUTLOOK

For Q4, on a currency comparable level, we expect sales of €310-330 million while bookings, on a currency comparable level, are expected to be in the range of €290-310 million. This guidance for both sales as well as orders does not include the proceeds of US$61 million related to the settlement of the arbitration proceeding with Kokusai Electric Corporation, which will be added to those numbers in Q4. For 2019, general expectations are now that the wafer fab equipment (WFE) market will decline with a mid-teens percentage. Market demand in the memory segment generally continues to be weak while visibility remains limited. Market demand in the logic and foundry segments is solid in 2019, driven by spending on the most advanced nodes, and expected to continue into the first part of 2020. We expect to strongly outperform the WFE market in 2019.

SHARE BUYBACK PROGRAM

The execution on the €100 million share buyback program, announced on July 23, 2019, will start as from early November.

INTERIM DIVIDEND

ASMI announces today an interim dividend of €1.00 per common share. In view of the recent strong increases in the cash position, the Board decided this year to bring forward part of the 2019 dividend in the form of an interim dividend. The final dividend proposal, which will be in line with ASMI’s policy to pay a sustainable dividend, will be announced as part of the fourth quarter 2019 and full yearresults 2019.

The relevant dates for this interim dividend payment are:

Ex-dividend date share Euronext and OTC US November 5, 2019

Record date November 6, 2019

Payment date November 12, 2019

ARBITRATION SETTLEMENT

As announced on October 29, 2019, ASMI has entered into a settlement agreement with Kokusai Electric Corporation (“KEC”) concerning all the matters of the arbitration proceeding relating to the license agreement which expired in November 2017. KEC will pay an amount of US$61 million to ASM. This settlement of the arbitration proceeding is separate from the settlement of the patents lawsuits and invalidation proceedings as announced on July 1, 2019.

ASM International settles with Kokusai for Batch ALD patent licenses (US$61 million)

October 29, 2019, 12.15 p.m. CET (LINK) ASM International N.V. (Euronext Amsterdam: ASM) today announces that it has entered into a settlement agreement with Kokusai Electric Corporation (formerly known as Hitachi Kokusai Electric Inc. and hereinafter referred to as “KEC”) to resolve the arbitration proceeding relating to the license agreement which expired in November 2017. As part of this settlement, KEC will pay ASM an amount of US$61 million. With this settlement all pending disputes between ASM and KEC with respect to patent licenses have been resolved.

As announced on February 23, 2018, ASM initiated an arbitration proceeding on August 30, 2017 with the American Arbitration Association against KEC for breach of the license agreement between the companies. This license agreement provided KEC and its affiliates a license under certain patents of ASM in the field of Batch ALD. The companies have now entered into a settlement agreement concerning all the matters of the arbitration.

This arbitration settlement is separate from the settlement of all patent lawsuits and invalidation proceedings between ASM and KEC that was announced on July 1, 2019.

The settlement of the arbitration will positively impact ASMI’s sales and bookings in Q4 2019 with an amount of US$61 million, or approximately €56 million.
Background:

- Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD (LINK)

- ASM International settles (US$115 million) with Kokusai Electric Corporation on the use and infringement of ALD patents  (LINK)

Monday, September 30, 2019

Chuck del Prado will step down as CEO of ASM International in May 2020

ASM International N.V. (Euronext Amsterdam: ASM) announces that Mr. Chuck del Prado, Chief Executive Officer, Chairman of the Management Board and President of the company has notified the Supervisory Board that he wishes to retire from the company at the Annual General Meeting of shareholders to be held in May 2020.

(ASM.com)

Chuck del Prado (58) joined ASM in 2001 and was appointed to the Management Board in 2006. In 2008, Mr. Del Prado was appointed as CEO, Chairman of the Management Board and President of the company.

Mr. Del Prado has indicated that he wishes to pursue a more family oriented life. Mr. Del Prado commented: “There is never a perfect moment to step down as CEO but given the commercial, financial and technological health of ASM, I believe the company is well positioned to undergo a change of leadership. ASM is part of my DNA. Next year I will have been with the company for almost 20 years and CEO for more than 12 years, in which period ASM has had my full focus and energy. This has not been an easy decision. I’m proud and very thankful to have had the opportunity to contribute to this exceptional company and to work with ASM’s great employees and customers.”

Jan Lobbezoo, Chairman of the Supervisory Board commented: “The Supervisory Board is grateful for the immense contribution of Chuck del Prado to the strong advancement of the company’s position in the semiconductor equipment industry. Under Chuck’s leadership – and building on the legacy of the late Arthur del Prado - ASM has become a globally leading player in semiconductor equipment, delivering excellent benefits to all stakeholders. The Supervisory Board will be sorry to see Chuck go, but we fully understand and respect his decision. He will leave behind a company that is excellently positioned to continue and further expand its leading position in the semiconductor equipment industry.”

A search to find a successor to Mr. Del Prado has started.

Wednesday, September 25, 2019

Beneq unveils Transform(TM), a versatile automated ALD platform for More-than-Moore device fabrication

Combination of thermal and plasma ALD, single wafer or batch processing, and a unique preheating module maximize options for flexible volume production

25 September, 2019, Grenoble, France – Beneq, a leading provider of ALD R&D and production technology, today announced the BENEQ TransformTM, a versatile ALD platform dedicated to More-than-Moore device fabrication.



The brand-new cluster design offers customers unparalleled flexibility by combining both thermal and plasma ALD with single wafer and batch processing, on a single automated platform. Fully compliant with industry quality and SEMI standards the BENEQ TransformTM is a one-stop ALD solution for Power Electronics, MEMS and Sensors, RF, LED, Photonics, and Advanced Packaging applications.

“Among hundreds of application requests brought to us by customers today, we are most excited by GaN devices for RF and Power applications as well as Photonics, both III-V and Silicon,” said Dr. Patrick Rabinzohn, Beneq’s Semiconductor Business Executive. “In particular surface passivation, gate dielectrics, nucleation layers and encapsulation layers are all well addressed with the BENEQ TransformTM.”

Truly Versatile


More than Moore (MtM) applications are evolving at a phenomenal pace. To be competitive manufacturers are adopting advanced thin film technologies. Often, they need to combine surface preparation, interfacial layer and functional layer deposition sequences - all in one tool.

Currently available ALD production systems offer only one type of ALD process - either thermal or plasma enhanced. This creates the need for a versatile tool that supports multiple deposition capabilities.

The BENEQ TransformTM confidently combines thermal and plasma ALD processing, on a single wafer or in batches, while easily configurable. It is capable of surface pre-treatment and can coat substrate materials at wafer sizes from 3 to 8 inches.

It works well for R&D, prototyping, as well as volume production. With the BENEQ TransformTM customers now have the option to run several different ALD processes on multiple devices and applications, all on a single footprint!

The most complete configuration offers two ALD process modules, pre-heating station, surface plasma treatment and wafer cooling.

Designed for the Fab


Unlike ALD production platforms featuring vertical flip functions, the TransformTM loads wafers in a horizontal position and seamlessly integrates with the rest of your production line. Such a loading mechanism is also proven to minimize handling issues, and particle generation associated with non-standard cassette batch loading systems.

The BENEQ TransformTM features a proprietary preheating module that eliminates hours of waiting time and boosts throughput to a whole new level (15 wph @50 nm Al2O3, in thermal mode). Throughput can be further increased by adding up to 2 more process modules, thermal or plasma.

The BENEQ TransformTM produces a wide range of oxides including Al2O3, HfO2, Ta2O5, TiO2, and SiO2, and nitrides such as AIN and TiN. What sets the TransformTM apart from other tools is its ability to scale up throughput and maintain the same uniformity regardless of the temperature, e.g. >400°C.

SEMI Certified


The BENEQ TransformTM shortens cycle time and speeds up fab adaptation by implementing SECS/GEM standards. It is fully compliant with SEMI S2/S8 for environmental, ergonomics and safe operations.

To learn more, visit www.beneq.com/transform.

About Beneq

Beneq is the home of ALD, offering a wide portfolio of equipment products and development services. Today Beneq leads the market with innovative solutions for flexible high-volume manufacturing (BENEQ TransformTM), advanced R&D (TFS 200, R2), ultra-fast high precision spatial ALD coatings (C2R), roll-to-roll thin film coating of continuous webs (WCS 600), and specialized batch production for thicker film stacks (P400, P800). Headquartered in Espoo, Finland Beneq is dedicated to making ALD technology accessible for researchers and providing the invisible advantage in emerging semiconductor applications.

Press Contact
Lie Luo
Beneq
lie.luo@beneq.com