Sunday, April 29, 2018

AMD will start sampling GPUs and CPUs based on 7nm later this year for full production in 2019

AMD reviled that their revenue was $1.65 billion, up 40 percent year-over-year and 23 percent quarter-over-quarter, driven primarily by higher revenue in the Computing and Graphics segment. During their 1Q/2018 earnings call (23rd of April 2018) with analysts AMS announced that they will start sampling GPUs and CPUs based on 7 nm later this year using both TSMC and Globalfoundries and ramp to full production in 2019. 7 nm Foundry and 10 nm Intel logic are roughly comparable in pitch and it will be interesting to follow AMD and Intel product launches the next year since Intel just announced they will be pushing out their 10 nm production to 2019.  Please find the statements  relating to 7 nm manufacturing below:


We have a 7-nanometer GPU based on Vega that we'll sample later this year. We have a 7-nanometer server CPU that we'll sample later this year. And then, obviously, we have a number of products that are planned for 2019 as well. So it's a very, very busy product season for us. But we're pleased with the sort of the execution on the product roadmap.

So our foundry strategy is to use both TSMC and GLOBALFOUNDRIES on the first 7-nanometer product. We are using TSMC for that product [the Vega GPU mentioned above] and we have a very strong relationship with them. And so, we do see a good momentum on it from what we see, and I'm not concerned about capacity.

7-nanometer Zen 2 based product we'll sample later this year to customers and that will be in production in 2019, and we do believe that the adoption rate of the second-generation could potentially be higher than the adoption rate of the first-generation, mostly because customers will be more familiar with our systems and our products. And so, we'll see how it goes, but we certainly – our overall goals are ambitious in the server space. 

I think as we look forward, and I think this is important, we believe that the 7-nanometer capability of the foundry ecosystem is very good, and that puts us in a good competitive spot from a manufacturing standpoint.
- Lisa T. Su - Advanced Micro Devices, Inc.

Full Call Transcript as supplied by Seeking Alpha : LINK 

Friday, April 27, 2018

TSMC to invest $14 billion in R&D at Hsinchu facility

 
TAIPEI (Reuters) - Taiwan Semiconductor Manufacturing Co, the world’s largest contract chipmaker, is planning a T$400 billion ($13.50 billion) investment to expand its research and development capacity for future technologies, a company spokeswoman said on Friday.

Hsinchu serves as the company’s headquarters, a major production facility, and its research and development center, which focuses on future chip technology.

Intel shifts high volume 10 nm shipments to 2019 due to yield issues from multi-patterning

As reported by Reuters [LINK], Intel bet the earnings expectations for the first quarter driven by the biggest-ever quarterly jump in its data centre business and small-but-steady growth in its personal computer business.However, Intel also announced that they are pushing out volume production of their 10 nm Logic process to 2019, which was most recently announced for the 2nd half of 2018. during the 1Q 2018 earnings conference calls more details were given:

[Seeking Alpha, LINK] "We continue to make progress on our 10-nanometer process. We are shipping in low volume and yields are improving, but the rate of improvement is slower than we anticipated. As a result, volume production is moving from the second half of 2018 into 2019. We understand the yield issues and have defined improvements for them, but they will take time to implement and qualify. We have leadership products on the roadmap that continue to take advantage of 14-nanometer, with Whiskey Lake for clients and Cascade Lake for the data center coming later this year.

Moore's Law is essential to our strategy and our product leadership. It continues to create significant value for Intel and our customers. While it's taking longer and costing more to deliver and yield advanced process technologies, we are able to optimize our process and products within the node to deliver meaningful performance improvements.

For example, 14-nanometer process optimizations and architectural improvements have resulted in performance gains of more than 70% since the first 14-nanometer products were launched. We combine these advances in manufacturing technology and architecture to produce truly leadership products. And it's that product leadership that ultimately matters most to our customers and end users."

Brian M. Krzanich - Intel Corp.

Earnings call slides [Seeking Alpha, LINK]

In the Q&A Mr. Krzanich elaborated on the reason behind the 10 nm push out and he explained how it is mainly due to yield issues coming from multiple patterning (SADP and SAQP):

- Intel have 10 nm product and process leadership and are shipping 10 nm products today. 
- Those are the densest, highest performing products out there.
- Intel is slowing the ramp down to fix yield issues related to patterning.
- In multi-multi-patterning (SAQP) there are six layers of patterning to produce a feature. 
- Intel understand the yield issues, which are tied to 10 nm being the last technology tied to not using EUV and the amount of multi-patterning and the effects of that on defects.


Intel’s 10 nm Platform Process was presented in detail at the IEDM 2017 (Dec 2017) “A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact over Active Gate and Cobalt Local Interconnects” and you may study the details in this excellent article by Dick James [Solid State Technology, LINK]

Media coverage:
 
The Register
 

Thursday, April 26, 2018

Intel soars after brighter forecast for data centres, memory

(Reuters) - Intel Corp beat earnings expectations for the first quarter and raised its full-year revenue and profit forecasts on Thursday, driven by the biggest-ever quarterly jump in its data centre business and small-but-steady growth in its personal computer business.

Shares of the Santa Clara, California-based chipmaker rose 5.4 percent to $55.95 in after-market trading after it said it expects full-year revenue of $67.5 billion, up $2.5 billion from prior guidance. 
 
Source: Reuters LINK

Wednesday, April 25, 2018

Micron gearing up for 10nm-class DRAM production in Taiwan

Micron Technology is gearing up to expand production 10nm-class DRAM chips at its Taiwan-based fabs between 2018 and 2019.

At its factory site in Taichung, central Taiwan (formerly Rexchip Electronics), commercial production of chips built using 1Xnm process technology already kicked off, according to Micron VP of global manufacturing Wayne Allan. The site will move forward transitioning to a newer 1Znm node in the second half of 2019, said Allan.

Micron will also enter 1Xnm chip production at its factory site in Taoyuan, northern Taiwan (formerly Inotera Memories) in the second half of 2018, Allan indicated. The site will be ready for transition to 1Ynm process technology at the end of the year, Allan said.

Source: DIGITIMES LINK

TSMC Kicks Off Volume Production of 7nm Chips

(ANANDTECH) TSMC last week announced that it had started high volume production (HVM) of chips using their first-gen 7 nm (CLN7FF) process technology. The contract maker of semiconductors says it has over a dozen of customers with tens of designs eager to use the technology to make their integrated circuits.

The 7 nm node is a big deal for the foundry industry in general and TSMC in particular. When compared to the CLN16FF+ technology (TSMC’s most widely used FinFET process technology) the CLN7FF will enable chip designers to shrink their die sizes by 70% (at the same transistor count), drop power consumption by 60%, or increase frequency by 30% (at the same complexity). So far, TSMC has taped out 18 customer products using the CLN7FF technology, more than 50 CLN7FF products will be taped out by the end of 2018.
 
TSMC’s CLN7FF process technology will rely on deep ultraviolet (DUV) lithography with argon fluoride (ArF) excimer lasers operating on a 193 nm wavelength. As a result, the world’s largest contract maker of semiconductors will be able to use existing manufacturing tools to make 7 nm chips. Meanwhile, to keep using DUV lithography the company and its customers have to use multipatterning (triple and quadruple patterning), which increases design and production costs as well as product cycles.

Full article : LINK

Monday, April 23, 2018

TSMC may post record profits for 2018 on 7nm volume production

[DIGITIMES Monday 23 April 2018] Taiwan Semiconductor Manufacturing Company (TSMC) is likely to score record profits for 2018 as the company will be gradually ramping up volume production of 7nm process in the second half of the year to fulfill lucrative orders from Apple for fabricating A12 application processors for its 2018 new iPhone models and from Quacomm for processing its new-generation smartphone chips, according to industry sources.

The sources said that TSMC will see its revenue ratio for advanced 7nm process hit a high of 20% in 2018, and may therefore post better-than-projected revenues and profits for the second half of the year and register an annual revenue growth of over 10%.

This is despite TSMC having lowered its revenue growth forecast for 2018 to 10% from the earlier projection of 10-15%, citing weaker-than-expected smartphone demand in the second quarter and growing uncertainty facing the cryptocurrency mining market.

Supply chain sources cited MediaTek's lackluster performance in capturing orders for its latest AI-based Helio P60 SoCs from China smartphone vendors in the second quarter as another factor driving TSMC to revise downward its revenue growth projections for the year.

Full article: LINK

Friday, April 20, 2018

Versum Materials Celebrates the Grand Opening of Its R&D Facility in Hometown, PA

TEMPE, Ariz. (April 19, 2018) Versum Materials, Inc. (NYSE: VSM), a leading materials supplier to the semiconductor industry, announced today the grand opening of its new research and development (R&D) facility at its semiconductor materials manufacturing site in Hometown, Pennsylvania. The ribbon-cutting ceremony took place April 10, 2018. Versum employees, members of the community, local government, customers and strategic partners attended the event.

The state-of-the-art R&D laboratory is dedicated to new materials used in the manufacture of semiconductors. Scientists in the facility will synthesize and purify new molecules down to parts per billion impurity levels and below using the latest technologies available in the industry. The researchers can assess the applications for these new molecules and scale up the molecules to larger quantities for customer evaluation. These new organometallic compounds will be deposited on semiconductor wafers through cutting-edge technologies to test their performance for semiconductor applications. Additionally, the facility is capable of small-volume manufacturing and advanced analytical and quality assessment.
 
 
State Senator Dave Argall commended Versum for being the region’s third largest employer and for the company’s investments in the local community. Approximately 30 employees, half of which hold advanced degrees in chemistry or chemical engineering, are based in the new facility. The company’s Hometown campus now totals 250 highly-skilled employees.

ASM International N.V. Reports First Quarter 2018 Results

ASM International N.V. (Euronext Amsterdam: ASM) reports its first quarter 2018 operating results (unaudited) in accordance with IFRS. (Almere, The Netherland April 19, 2018 FinanzNachrichten.de LINK)

From the ASMI Q1/2018 investor presentations : LINK
  • New orders at €206 million were at the highest level ever and 2% above the Q4 2017 level and 1% above the level of Q1 2017.
  • Net sales for the first quarter 2018 were €159 million, a decrease of 12% compared to the restated previous quarter.
  • Gross profit margin was 37.8% in Q1 2018. The margin was beside the effects of new product introductions impacted by sales mix and preparation for anticipated higher activity levels.
  • Operating result decreased to €12 million compared to the previous quarter. The decrease is mainly the result of the lower sales level.
  • Normalized net earnings for the first quarter 2018 decreased by €18 million compared to the fourth quarter 2017.

COMMENT

Commenting on the results, Chuck del Prado, President and Chief Executive Officer of ASM International said:

"In Q1 we realized sales of €159 million and an order intake of €206 million. Our sales were at the lower end of our guidance while order intake was at the higher end of our guidance. The gross margin in Q1 at 38% was impacted by an unfavorable product mix and costs related to our preparation for further growth. We estimate our gross margin in Q2 to show a substantial improvement bringing it back again in the range of low to mid 40's."

ASMI operates in the Deposition segments (e.g. PVD, CVD, ALD) of the semiconductor equipment market (ASMI Q1/2018 investor presentations : LINK)


OUTLOOK

For Q2, on a currency comparable level, we expect sales of €200-230 million, and we expect an order intake of €160-200 million. The broad ranges for Q2 reflect some uncertainty around the exact timing of individual tools.
Gartner estimates the Wafer Fab Equipment market grew 32% in 2017 and forecasts a modest decline in 2018 (ASMI Q1/2018 investor presentations : LINK)

For 2018, market watchers currently expect the wafer fab equipment market to increase with, on average, a high single digit percentage. We aim to outgrow the wafer fab equipment market in 2018. 

Investor presentations : LINK

 More insights can be found by reading the Investor call transcript : LINK (Seeking Alpha)



Thursday, April 12, 2018

Beneq C2 wins the High-Volume Manufacturing Award in the CS International Conference

 
Beneq C2 wins the High-Volume Manufacturing Award in the CS International Conference. Beneq C2, the automated wafer ALD solution in Beneq’s cluster-compatible equipment portfolio, is the winner in the High-Volume Manufacturing category of the CS Industry Awards, where the industry experts have been able to vote for their favorites. 
 
Dr Mikko Söderlund receives CS Industry Award for Beneq

Beneq's Mikko Söderlund with the High-Volume Manufacturing Award in the CS Award ceremony.
 
Source : Beneq Blog

Wednesday, April 11, 2018

Azur Space adds customized Aixtron AIX 2800G4 8x6"-wafer MOCVD system to expand production of space and concentrator solar cells


 


Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany is providing its latest metal-organic chemical vapor deposition (MOCVD) technology to aerospace supplier Azur Space Solar Power GmbH of Heilbronn, Germany (a long time user of Aixtron’s planetary technology).

 
The customized AIX 2800G4 series system with an 8x6”-wafer configuration (delivery in second-quarter 2018) will further expand production of highly efficient multi-III-V space and concentrator solar cells, which are mainly used in the solar panels of satellites.

Source: Semiconductor Today LINK

ON Semiconductor is going to HVM with Veeco Propoel MOCVD System for GaN Power devices

After Successful Beta Test, ON Semiconductor Selects Industry's First Single-Wafer Cluster Platform

PLAINVIEW, N.Y., April 10, 2018 (GLOBE NEWSWIRE) -- Veeco Instruments Inc. (Nasdaq:VECO) today announced that ON Semiconductor (Nasdaq:ON) has ordered its Propel® High-volume Manufacturing (HVM) Gallium Nitride (GaN) Metal Organic Chemical Vapor Deposition (MOCVD) system. Based on its successful beta evaluation of the Propel HVM tool, ON Semiconductor ordered the production-level Propel system for GaN power electronics manufacturing. As the industry's first single-wafer cluster platform, the Propel GaN MOCVD system is specifically designed for high-voltage power-management devices used in data centers; automotive, information and communication technology; defense; aerospace and power distribution systems, among other applications
'Our prior learning with Veeco's K465i™ GaN MOCVD system drove us to investigate the Propel HVM platform for our production ramp,' said Marnix Tack, PhD, senior director of corporate R&D and Open Innovation at ON Semiconductor. 'The beta test results demonstrated superior device performance with high uniformity and within-wafer and wafer-to-wafer repeatability, while meeting our cost-of-ownership targets for six- and eight-inch wafers. As such, the Propel HVM system proved to be the most suitable platform for our power electronics manufacturing needs.'

The Propel HVM platform is based on Veeco's innovative single-wafer system with proprietary IsoFlange™ and SymmHeat™ technologies that provide homogeneous laminar flow and uniform temperature profile across the entire wafer. The system enables production of power electronics, laser diodes, RF devices and advanced LEDs with higher performance and production yields while ensuring very low cost-of-ownership.

'The Propel HVM platform is rapidly gaining traction in the industry as innovative companies like ON Semiconductor recognize the benefits of GaN-on-silicon, which will partially replace current silicon technology for power electronics,' commented Peo Hansson, PhD, senior vice president and general manager of Veeco MOCVD operations. 'With its highly controlled doping, run-to-run stability, superior wafer uniformity, high productivity and uptime, Propel HVM extends the benefits of our TurboDisc® platform to a unique single-wafer architecture. These capabilities benefit customers that seek a superior solution for manufacturing while providing a path for scaling to eight-inch wafers and expansion to RF and other advanced applications.'

GaN is a wide band gap semiconductor material with specific advantages over conventional technologies such as gallium arsenide (GaAs) and silicon carbide (SiC). GaN has enormous potential in the short term due to its benefits in terms of thermal behavior, efficiency, weight and size. According to market research firm Yole Développement, the GaN power device business was worth $14 million in 2016, and projects that it will reach $460 million by 2022, with a compound annual growth rate (CAGR) of 79 percent. GaN-based devices will be used increasingly in RF amplifiers, LEDs and high voltage applications among others, primarily due to their abilities to operate at high frequency, power density and temperature with improved efficiency and linearity.

Veeco is discussing the power of its innovative MOCVD and wet etch systems in the '5G: Where Are We and What's Next?' track at the CS International Conference this week in Brussels, Belgium. Somit Joshi, senior director of MOCVD marketing is presenting a session titled, 'Enabling GaN RF and Power Electronics through Innovative MOCVD and Wet Etch Process Technologies,' on Wednesday, April 11, and the Veeco team will also be accepting the CS Industry 2018 Award for Innovation for its GENxcel™ R&D MBE System at the awards ceremony held during the conference.

Source: 4-traders LINK

Monday, April 9, 2018

GLOBALFOUNDRIES and Toppan Photomasks extend advanced photomask joint venture in Germany

GLOBALFOUNDRIES Inc. (GF) and Toppan Photomasks, Inc. (TPI) today announced a multi-year extension to their Advanced Mask Technology Center (AMTC) joint venture in Dresden, Germany. Opened in 2002, the AMTC provides GF’s fabs in Dresden, Malta and Singapore with high-end production and development masks at world-class cycle times in support of the foundry’s ambitious technology roadmap. The AMTC also supports TPI customers worldwide from Dresden.

Owned equally by TPI and GF, the AMTC joint venture was previously extended in 2012 to further increase tool capability and capacity. This new extension to the agreement aims to continue the current charter for manufacturing production masks as well as developing mask technology for ever smaller geometries. GF is both TPI’s partner in the joint venture and a strategic and critical customer, while TPI is GF’s preferred mask supplier, leveraging AMTC and TPI’s global manufacturing network to support GF’s worldwide operations.



Full article in Solid State Technology : LINK

Saturday, April 7, 2018

Globalfoundries announces optics strategy on 300 mm wafers

SANTA CLARA, Calif., April 6, 2018 — Semiconductor foundry GlobalFoundries Inc. has announced details of its “silicon photonics roadmap” that aims to enable the next generation of optical interconnects for data center and cloud applications.

The company has now qualified a 90-nm manufacturing process using 300-mm wafers while also unveiling its upcoming 45-nm technology to deliver higher bandwidth and energy efficiency. GlobalFoundries’ silicon photonics technologies are designed to support the data of today’s global communication infrastructure. Instead of traditional interconnects that transmit data using electrical signals over copper wires, silicon photonics technology uses pulses of light through optical fibers to move more data at higher speeds and over longer distances, while also minimizing energy loss.

Source: Photonics LINK

Imec fabs p-GaN power devices on thermally matched substrates

Imec and substrate materials specialist Qromis have developed enhancement mode p-GaN power devices on 200mm engineered Coefficient of Thermal Expansion (CTE)-matched substrates, processed on Imec's silicon pilot line. The substrates are offered by Qromis as commercial 200mm QST substrates as part of their patented product portfolio. Today, GaN-on-Si technology is the industry standard platform for commercial GaN power switching devices for wafer diameters up to 150mm/6 inch.

Source: Electronics Weekly LINK

Micron Starts Construction of Its Third NAND Fab in Singapore

Micron this week broke ground on its new fab in Singapore that will be dedicated to manufacturing 3D NAND flash memory. Set to be completed late next year, Micron is not disclosing the production capacity of the new plant, only saying that its investments in the project are going to total billions of U.S. dollars. In addition, the company is disclosing its plans to expand its R&D activities in Singapore.

 
Micron breaks ground on new flash memory fabrication plant in Singapore (The Business Times)

The new semiconductor production facility represents the third phase of Micron’s Fab 10 expansion and will be located adjacent to the existing complexes. The new plant will be built on a 165,000 m2 land plot at North Coast Drive. The new Fab is expected to be completed sometimes in the middle of 2019, Micron plans to get the first wafers from the new fab sometimes in Q4 2019. The ramp up of the facility is going to take several quarters, so expect significant volumes of 3D NAND memory to come out of the new fab towards the end of calendar 2020.

As noted, Micron already operates two 300-mm 3D NAND facilities in Singapore called Fab 10N and Fab 10X, which were built earlier this decade. These plants currently produce the lion’s share of Micron’s NAND flash memory.

Source: AnandTech LINK

Samsung Electronics to double 3DNAND memory output capacity in China

[Nikkei Asian Review, March 28, 2018] SEOUL -- Samsung Electronics said Wednesday that it will invest $7 billion over three years to double production capacity for NAND flash memory in China, the top importer of South Korean semiconductors.


Samsung Electronics held a groundbreaking ceremony March 28 for a second production line at its Xi'an chip fabrication facility in China's Shaanxi Province.

The company will build a second line at its Xi'an fabrication facility in inland Shaanxi Province, lifting monthly capacity to the equivalent of 220,000 300mm silicon wafers by 2020. The new line is expected to make cutting-edge 3-D flash memory, which can hold much more data than conventional chips.

Full article : LINK

Friday, April 6, 2018

Plasma-Therm acquires KOBUS and F.A.S.T ALD & CVD hybride technology

KOBUS, an innovative French company based in Grenoble that has brought a new faster ALD-like technology to production level  has been acquired by US Plasma-Therm. The technology is called F.A.S.T, an alternative to ALD where thick and conformal films are required like e.g. TSV and 3D chip integration or MEMS. F.A.S.T. stands for “Fast Atomic Sequential Technology and is enabled by a innovative CVD reactor design combined with fast pulsing capability. KOBUS has focused on solutions for 3D integration challenges, which fits good to the Plasma-Therms etch and PECVD product portfolio. Plasma-Therm also recently acquired the small Swedish plasma etch/dep OEM Advanced Vacuum who target back-end applications and reverse engineering etchers.

ST. PETERSBURG, Florida (April 4, 2018) — Plasma-Therm today announced that it has acquired KOBUS, an innovative plasma deposition company, which enables F.A.S.T®, a valuable alternative to ALD where thick and conformal films are required.

This unique deposition method is at the crossroads of ALD and CVD: F.A.S.T. stands for “Fast Atomic .Sequential Technology.” F.A.S.T. is enabled by proprietary CVD reactor design combined with pulsing capability, and while capable of depositing in traditional ALD mode, it is optimal for thick and conformal layer deposition and offers new solutions for 3D integration challenges.
Production of the 3rd generation of F.A.S.T. process modules at KOBUS (wwww.kobus-tech.com)

KOBUS offers a unique portfolio of equipment for both mature and advanced materials deposition, which merges well with Plasma-Therm’s operation, expanding the plasma-based deposition and etch suite of products for all silicon and compound semiconductor emerging applications.

This acquisition will allow Plasma-Therm to establish a solid base in Europe and conduct R&D development in the Grenoble “Silicon Valley,” a region fueled with R&D, startups and large semiconductor corporations.

About Plasma-Therm
Plasma-Therm LLC is a manufacturer of leading plasma etch, deposition, and advanced packaging equipment for specialty semiconductor and nanotechnology markets. Plasma-Therm's plasma-processing and advanced-packaging solutions are used in research, pilot manufacturing, and volume production of wireless, photonics, solid state lighting, MEMS/NEMS, data storage and other devices. Learn more at http://www.plasmatherm.com

Samsung Electronics has completed the development 7 nm their foundry process using EUV equipment ahead of time

[Seoul, Korea 6 April 2018, Business Korea] According to news originating from South Korea, Samsung Electronics has completed the development 7 nm their foundry process using extreme ultraviolet (EUV) equipment ahead of time. Samsung Electronics originally intended to complete the development of the process in the second half of 2018, but finished it six months earlier. Mass production will start this year. It was reported that Qualcomm was preparing samples to supply large volume of mobile application processors (APs) to Qualcomm, the first corporate customer.

The report als re-confirms that Samsung Electronics on the 5nm foundry process and the construction of an EUV-only line in Hwaseong, Korea. Previous reports have claimed that it may be used for both Logic and Memory.

Full article:  LINK


New-EUV-Line-in-Hwaseong [pcper.com]

Sunday, April 1, 2018

ALD research and development continious to grow!

There are a number of ways how to track R&D in a technological field besides tracking the number of publications. In the case of ALD you can track the number of delegates visiting the annual International ALD conference. ALD 2016 Ireland had 810 delegates and AVS ALD 2017 in Denver had 950 delegates as far as I know. Since the International ALD conference today has >50% industry delegates this is an indication of a growth in R&D interest from both academics and industry.

You can also look at the annual revenue in sales from ALD wafer processing equipment and here information can be taken from regular updates from Gartner, VLSI Research and the leading ALD OEMS like ASM International who report this in their financial reports. If you plot all those numbers using full range on y-axis into a plot (as a I have done below for 2016 numbers) you can clearly see that ALD R&D and systems sales are growing hand in hand from 2005 until 2016. You can also see that 2009 was a bad year and it took two years for the ALD geeks to recover fully and get back to the ALD conference in numbers. What you can also see is that the ALD System sales went down already one year before (2008) the big crisis...

2016 numbers and forecasts (BALD Engineering AB)

Most of the ALD OEMs have published annual reports now and it seem that 2017 was a stellar year for ALD systems sales.

Another way to track ALD R&D is to look at patent filing. Either you can come up with a set of key words that you think will appear in ALD patents or you can dig into the patent classes and make it a bit easier for you sin too look for trends you don´t necessary need all patents it may just be enough to look for a couple of classes where ALD people are filing.

Last year I compiled this graph below showing ALD precursor patent applications and families and two of the most important events for ALD high-k in high volume manufacturing (HVM) on 300mm wafers using ALD:
  • 2004 : ALD of Al2O3/HfO2 high-k node dielectric by Samsung 90 nm DRAM technology
  • 2007 : ALD of HfO2 gate dielectric by Intel for their 45 nm Logic High-k/Metal Gate stack
(Until all presentations starts with the DRAM reference as 3 years before Logic, I will keep on repeating this fact. Remember "Memory before Logic")

Since it can take quite some time for patents applications to form actual global families it is more intriguing to track the number of applications. So ta da! it follows the ALD conference delegate number quite good (green curve above).

Summer 2017 patent search  (BALD Engineering AB)

So how was 2017 and how many ALD patents are being filed so far 2018 - check it out below and for those of you that have hard time to sleep you can compile this plot on a daily basis by clicking in this LINK. Please note that this graph only contains patent from the CPC class C23C16/45525 and that there are other classes as well that need to be included for a full study. Anyhow it is a good one since it states explicitly Atomic Layer Deposition as coating method.  So keep track on the last data point that today is 140 and if it will reach above 671 by the end of the year or not and before that - how many delegates did go to AVS ALD 2018 in Incheon Korea in July!

2018-04-01 patent search (Patbase.com : LINK)

Just recently I met with Prof. Mikko Ritala in Dresden for the EFDS ALD for Industry and I told him that I have a model to predict the number of delegates for ALD Conference 2024 in Helsinki Finland so at this point my recommendation is to book one of these: