Tuesday, April 30, 2019

Q1/19 Gross Margin and Earnings exceed Expectations / 2019 Full-year Guidance confirmed

Orders and revenues in line with forecast / Positive exchange rate effects and improved product costs offset expected countervailing margin effects

Herzogenrath/Germany, April 30, 2019 - AIXTRON SE (FSE: AIXA), a leading provider of deposition equipment to the semiconductor industry, today announced its financial results for the first quarter 2019. 
  • Revenues increased to EUR 68.7 million (+10%) compared to previous year
  • Order intake reduced year-on-year to EUR 53.6 million (-32%)
  • Gross profit of EUR 26.7 million stable compared to previous year
  • Operating result (EBIT) up onprevious year to EUR 9.7 million (+23%)
  • Operating expenses down further year-on-year (-10%) 

AIXTRON SE (AIXA.DE) XETRA - XETRA Delayed Price. Currency in EUR (30APR2019,Yahoo.com)
 
Business Development

The first quarter of 2019 was mainly influenced by the expected reluctance of customers to invest in the expansion of their production capacities. However, the prospects for our core optoelectronics and power electronics business are intact.

AIXTRON continues to anticipate an increasing demand for lasers in these areas due to increasing applications in 3D sensor technology, security infrastructure or optical data transmission as well as the increasing use of LEDs and special LEDs in display and other applications. In addition, the company expects an increased use of gallium nitride or silicon carbide-based devices for energy-efficient communication and energy management in automobiles, consumer electronics and mobile devices.

In organic electronics, the Group took a further step towards OVPD technology qualification during the first quarter of 2019 with the commissioning of the Gen2 OLED system on a customer pilot production line. In the coming months, the facility is expected to deliver test results that will serve as the customer's decision basis, support the customer's decision-making process, and further advance the development of the technology.

Monday, April 29, 2019

TSMC Will Manufacture 3D Stacked WoW Chips In 2021

TSMC’s Joint-CEO Wei Zhejia Announces Mass Production of 5nm WoW Built Chips In 2021 After Completing World’s Frist 3D IC Package. This shift will allow its customers to ‘stack’ multiple CPUs or GPUs on one another inside a single package – effectively doubling the number of transistors. To achieve this, TSMC will connect the two different die wafers using TSVs (Through Silicon Vias). TSVs are essentially 10-micron holes that facilitate transfer between the two stacked chips. TSMC has developed the technology in a partnership with California based Cadence Design Systems, and the technology is an extension of the company’s InFO (Integrated Fan-out) and CoWoS (Chip-on-Wafer-on-Substrate) 3D chip production techniques.
 

Source: wccftech.com LINK

-----------------
by Abhishekkumar Thakur

Sunday, April 28, 2019

Samsung Electronics will maintain its DRAM production volume

Samsung Electronics will maintain its production volume without reducing memory semiconductors such as DRAMs. This is a different strategy than the second and third largest memory semiconductor makers SK Hynix and Micron announced their plans to cut production. According to Samsung Electronics, the semiconductor market is expected to improve from the second half of the year as early as 3Q, and it has been said that it does not cut production for preemptive countermeasures.
  • SK Hynix, the second-ranked maker, also announced that it will reduce its NAND flash wafer input this year by more than 10 percent from its 1Q earnings release. 
  • Micron has announce that it will cut DRAM and NAND flash by 5% each to resolve supply-demand imbalances and inventory problems last month. 
Source: www.asiae.co.kr LINK
 
Samsung Electronics also announced last week that it will invest 133 trillion won in memory and non-memory semiconductors by 2030 (LINK). 
 
 
The investment plan is expected to help the company to reach its goal of becoming the world leader in not only memory semiconductors but also logic chips by 2030. The company also plans to create 15,000 jobs in R&D and production to bolster its technological prowess. (Source: Samsung)

Tokyo Electron defend strong position in ALD equipment, down 4% Market share

As reported earlier (LINK), Japanese companies were especially successful in 2018 in capturing a more significant chunk of the semiconductor fab investments in wafer processing equipment. According to the recent Toyko Electron FY2019 report (LINK), they defend their strong position in ALD equipment at around 30%, down somewhat from 31% 2017 to 27% in 2018. It is unclear which companies has gained from TEL and more information will be available at the 7 May 2019 Webcast.

As before, this segment should include all wafer based ALD platforms. However, some companies hide their ALD revenue in the CVD segments so you can not know for sure if you don´t know the data in detail. The segments are:
  • ALD Tube - Large batch furnaces, typically loading 100 or more wafers
  • Single wafer platforms
  • Multi-wafer platforms, spatial or multi-station




Tokyo Electron market shares based on Gartner data (Tokyo Electron based on Gartner 24 April 2019 reporting)

ASM beats first-quarter targets, sees market outperformance in 2019


Dutch semiconductor supplier ASM International on Wednesday reported first-quarter revenue of 249 million euros ($278.5 million) and an order intake of 235 million euros, both exceeding its own expectations.
Source: Reuters LINK
In the 1Q/2019 Investor presentation (LINK) ASMI reports a strong long term growth prospects:
  • ALD market expected to be a key growth market, ASMI has leadership position in ALD
  • Driving structurally higher sales in the other product lines
  • Solid momentum in the first part of 2019 driven by ASMI’s strong position in logic/foundry
ASMI has leading positions in ALD
  • ASMI has strongest position in logic/foundry. 
  • Logic/foundry ALD market more than doubled from 14nm/16nm to 7nm
  • ALD continued to account for more than half of ASM’s equipment revenue in 2018
  • Strong focus on increasing our addressable market within single wafer ALD
Strong market outlook ALD
  • The CAGR of the single wafer ALD segment is expected to be the highest within the deposition equipment market in the next years
Solid growth expected for the ALD market
  • ASMI expect the single wafer ALD market to reach a size of approx. US$1.5 billion by ‘20-’21
  • Focus on expanding their addressable market within the single wafer ALD space
  • ASMI outperformed WFE in 2018 and expects to outperform WFE in 2019
  • Launch of new XP8 QCM tool in January 2019, offering substantial improvement in productivity for advanced applications. The XP8 QCM is already in high-volume manufacturing at multiple customers
More than 50% of ASM´s revenue 2018 came from the top 3 semiconductor equipment spenders, i.e., Samsung, TSMC and Intel.

ATI's tariff exclusion request denied



The U.S. Department of Commerce has denied Pittsburgh-based Allegheny Technologies Inc.’s (NYSE: ATI) Section 232 tariff exclusion request Wednesday, according to a news release.

The Pittsburgh-based manufacturer’s stainless joint venture, which imports semi-finished stainless slab products from Indonesia, applied for the exclusion.

“While we are disappointed that the U.S. Department of Commerce denied the JV’s Section 232 tariff exclusion request, it does not change our strategy of returning ATI’s Flat Rolled Products segment to sustained profitability,” ATI CEO Robert Wetherbee said in a prepared statement. “Although we believe the unique status of the North American stainless steel industry warranted approval of our exclusion, we are committed to meeting our customers’ needs and delivering value to our shareholders.”
 
Source: The Business Journals LINK

Intel cuts forecast as China data centre sales remain weak


Chipmaker Intel Corp on Thursday cut its full-year revenue forecast and missed analysts' estimates for first-quarter sales for its higher-margin data centre business, sending its shares down as much as 7.5%. 
 
Source: Reuters LINK

SK Hynix confident of memory chip recovery after quarterly profit drop


SK Hynix Inc said on Thursday it was confident of a recovery in memory chips later this year due to rising demand from data centres, after the South Korean chipmaker posted its smallest quarterly profit in more than two years.
 
Source: Reuters LINK

Saturday, April 20, 2019

SK Hynix completes expanded DRAM fab in Wuxi China

CET News reports that SK Hynix has completed an expanded fabrication plant (C2F) in Wuxi, China. C2F is an expansion of the existing DRAM production line, C2, in Wuxi. The Company decided to expand its production line in 2016 to solve the shortage of production space due to technology migration.

Source: CET News LINK
 

Texas Instruments to build 2nd 300 mm analog chip fab for $3.1 billion in Richardson Texas

Dallas News reports that Texas Instruments has chosen Richardson as the site for a $3.1 billion semiconductor facility that's expected to create more than 488 jobs. The 870,000 square-foot plant will be built on W. Renner Road, between Custer Parkway and Alma Road. It will boost Texas Instruments' chip production for a broad range of uses, such as smartphones, connected cars, and industrial machinery. The company is already the biggest maker of analog semiconductors. Texas Instruments plans to produce its more cost-effective 300-millimeter wafers at the facility. Each wafer is cut into numerous analog chips. The 300-millimeter wafers can yield twice as many chips as the company's 200-millimeter product.

Source: Dallas News LINK

 Announcement on Twitter.com

Tuesday, April 16, 2019

Applied Materials' Business Halt Has Limited Impact on Sanan Optoelectronics, Says Sanan

After China's biggest LED chip manufacturer Sanan Optoelectronics was named in the US red-flag list of "unverified" entities last week the equipment supplier Applied Materials reportedly stopped business with Sanan Optoelectronics.
  • According to Sanan Optoelectronics, Applied Materials has limited impact on Sanan’s business operation since the US company is not an exclusive equipment provider for the chip maker. 
  • Sanan claims to have alternatives from domestic Chinese equipment supplier for production expansion.
  • Sanan has sent a team to the US to clarify the reason for being red-flagged and applied for being removed from the “unverified” list.
  • According LEDinside, Applied Materials’ business halt may have impact on Sanan’s semiconductor business such as GaAs and GaN products for 5G communication, which may be the real US government concern, i.e., nit LEDs.



Source: LEDinside LINK


TSMC Unveils 6-nanometer Process

7-nanometer Enhancement Combines Performance/Cost Advantage with Fast Time-to-Market

Hsinchu, Taiwan, R.O.C. – April 16, 2019 - TSMC (TWSE: 2330, NYSE: TSM) today announced its 6-nanometer (N6) process, which provides a significant enhancement of its industry-leading N7 technology and offers customers a highly competitive performance-to-cost advantage as well as fast time-to-market with direct migration from N7-based designs.

By leveraging the new capabilities in extreme ultraviolet (EUV) lithography gained from the N7+ technology currently in risk production, TSMC’s N6 process delivers 18% higher logic density over the N7 process. At the same time, its design rules are fully compatible with TSMC’s proven N7 technology, allowing its comprehensive design ecosystem to be reused. As a result, it offers a seamless migration path with a fast design cycle time with very limited engineering resources for customers to achieve the product benefits from the new technology offering. 


Photo released by Taiwan Semiconductor Manufacturing Co., Ltd.
 
Scheduled for risk production in the first quarter of 2020, TSMC’s N6 technology provides customers with additional cost-effective benefits while extending the industry-leading power and performance from the 7nm family for a broad array of applications, ranging from high-to-mid end mobile, consumer applications, AI, networking, 5G infrastructure, GPU, and high-performance computing.

“TSMC N6 technology will further extend our leadership in delivering product benefits with higher performance and cost advantage beyond the current N7,” said Dr. Kevin Zhang, TSMC Vice President of Business Development. “Building upon the broad success of our 7nm technology, we’re confident that our customers will be able to quickly extract even higher product value from the new offering by leveraging a well-established design ecosystem today.”
 
Source: TSMC LINK

Friday, April 12, 2019

Versum Materials: Merck KGaA to buy Versum in all-cash deal valued at 5.8 billion euros


Merck KGaA said Friday it has signed a definitive agreement to acquire Versum Materials Inc. in a deal with an enterprise value of 5.8 billion euros ($6.6 billion). Merck will pay $53 per Versum share in cash to create a top supplier of high-purity process chemicals, gases and equipment for semiconductor manufacturing, the company said in a statement. 
 
The deal is expected to immediately boost Merck's per-share earnings and to close in the second half. Versum's board has terminated its merger agreement with Entegris Inc. on the grounds that the Merck offer is superior. The deal will be financed with cash on hand and debt via a facilities agreement with Bank of America Merrill Lynch, BNP Paribas Fortis and Deutsche Bank AG. 
 
Merck is determined to maintain its strong investment grade rating, it said in a statement. Versum shares were slightly higher premarket, but have gained 38% in the past 12 months, while the S&P 500 has gained 8%.Market Pulse Stories are Rapid-fire, short news bursts on stocks and markets as they move. Visit MarketWatch.com for more information on this news.
 
Source: MarketWatch LINK

BASF to boost alkylethanolamines capacity at Verbund site



With more than 300 different amines in a diverse portfolio, German chemical company BASF is a world-leading amine supplier. The company produces alkyl-, alkanol- and alkoxyalkylamines, heterocyclic and aromatic and specialty amines. To adapt to ever-increasing demand for its alkylethanolamine (AEOA) range, BASF announced on 10 April 2019 an increase in its annual production capacity.

Source: Fuels + Lubes Online LINK

Wednesday, April 10, 2019

TSMC starts working on 5nm Apple A14 chip infrastructure



Taiwan Semiconductor Manufacturing Company (TSMC) has announced the release of its 5nm chip design infrastructure. With the shrinking size, the company is touting improved processor performance as well as improved battery life and thermal management. It's noteworthy that TSMC has been Apple's only supplier for the company's A-series chipsets since 2016.

TSMC is now expected to continue being the only supplier for Apple for its future iPhone models. This could mean that Apple can move forward with producing 5nm A-series chipset for the future iPhone models and we could see it in as early as 2020 iPhones.

The 5nm process is already said to be in preliminary risk production and the chipmaker is planning to invest around $25 billion towards volume production by 2020. As for the iPhone models launching this year, reports indicate that the company will be using a new A13 processor, which will be manufactured using the 7nm+ process.


Source: Gizmochina LINK

Gelest Inc. recruits Jim Whitlock as COO


Gelest Inc, which is backed by New Mountain Capital, has named Jim Whitlock as chief operations officer. Previously, he worked at Honeywell where he was vice president of integrated supply chain for their performance materials and technology business group. Morrisville, Pennsylvania-based Gelest is a maker of supplier of silicones, organosilanes and metal-organics for advanced technology end markets.

Source: PE Hub Network LINK

Monday, April 8, 2019

TSMC Ramps Volume Manufacturing For 7nm Using EUV Scanners, Expects 5nm By 2020.

TSMC has started volume manufacturing of high-performance 7nm parts according to a report by DigiTimes. Among TSMC's 7nm chip clients, HiSilicon and AMD have been ramping up their wafer starts aggressively.

 ----------
By Abhishekkumar Thakur

Friday, April 5, 2019

Amtech Systems plans to divest its solar businesses



Amtech Systems, a manufacturer of capital equipment and consumables used in fabricating semiconductor devices, LEDs, SiC and silicon power chips ans well as solar cells, is planning to sell its solar businesses.
 
Source: Evertiq LINK

ASML celebrate 35 years and bringing EUV lithography to HVM

Decades of enormous hard work and innovation at ASML in transforming a prototype (shown in the thumbnail) into the giant NXE:3400B EUV lithography tool that is running in the leading edge fabs.


Source: ASML LINK

----------
By Abhishekkumar Thakur

Samsung doubles investment in EUV line at Hwaseong plant

Samsung is preparing to double its previous investment in a contract related to its new EUV lines at the company's Hwaseong facilities. Samsung announced construction plans for Hwaseong in 2017, and broke ground in 2018 with completion scheduled for 2020. Samsung added 739 billion won to the original 723 billion won contract, signed back in September 2018, bringing its total value to around $1.29 billion. The work for this particular line is expected to be completed by April 2020.

Source: Techspot LINK
----------
Bay Abhishekkumar Thakur

Thursday, April 4, 2019

TSMC today announced delivery of the complete version of its 5 nm design infrastructure

Hsinchu, Taiwan, R.O.C., April 3, 2019—TSMC today announced delivery of the complete version of its 5 nanometer (nm) design infrastructure within the Open Innovation Platform® (OIP). This full release enables 5nm systems-on-chip (SoC) designs in next-generation advanced mobile and high-performance computing (HPC) applications, targeting high-growth 5G and artificial intelligence markets. Leading Electronic Design Automation (EDA) and IP vendors collaborated with TSMC to develop and validate the complete design infrastructure, including technology files, process design kits (PDKs), tools, flows and IP, through multiple silicon test vehicles.
 
 
TSMC’s 5nm process is already in risk production and offers IC designers a new level of performance and power optimization targeted at the next generation of high-end mobile and HPC applications. Compared with TSMC’s 7nm process, its innovative scaling features deliver 1.8X logic density and 15% speed gain on an ARM® Cortex®-A72 core, along with superior SRAM and analog area reduction enabled by the process architecture. The 5nm process enjoys the benefits of process simplification provided by EUV lithography, and is making excellent progress in yield learning, achieving the best technology maturity at the same corresponding stage as compared to TSMC's previous nodes.

TSMC’s comprehensive 5nm design infrastructure includes the full versions of the 5nm Design Rule Manual (DRM), SPICE model, process design kits (PDKs) and silicon-validated foundation and interface IP, and also supports a full range of certified EDA tools and design flows. Backed by the resources of the largest design ecosystem in the industry, TSMC’s Open Innovation Platform®, customers have already started intensive design engagements, paving the way for product tape-outs, pilot activities and early sampling.

“TSMC’s 5-nanometer technology offers our customers the industry’s most advanced logic process to address the exponentially growing demand for computing power driven by AI and 5G,” said Cliff Hou, Vice President of Research & Development/Technology Development at TSMC. “5-nanometer technology requires deeper design-technology co-optimization. Therefore, we collaborate seamlessly with our ecosystem partners to ensure we deliver silicon-validated IP blocks and EDA tools ready for customer use. As always, we are committed to helping customers achieve first-time silicon success and faster time-to-market.”

Press release : LINK

Applied Optoelectronics orders MOCVD technology from AIXTRON

Photonics manufacturer expands production into growing laser business with multiple AIX 2800G4 systems

Herzogenrath/Germany, 2 April, 2019 – AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced the delivery of multiple AIX 2800G4 systems to US-based photonic maker Applied Optoelectronics, Inc. (AOI) which focuses on the design, development, and manufacturing of advanced optical devices, packaged optical components, optical subsystems, laser transmitters, and fiber optic transceivers. The delivered tools feature a 12x4-inch configuration.

AIX 2800G4-TM

The AIX 2800G4 platform has established itself as the market-leading tool for high-volume production of vertical-cavity surface-emitting lasers (VCSEL) for 3D sensors and other diode lasers due to the matchless performance of the Planetary Reactor® concept with respect to thickness and wavelength uniformity control of epitaxial layers. The system provides incomparably high efficiency in handling the expensive chemicals used for MOCVD processes while delivering maximum production yield of premium level laser devices. In addition to the excellent reproducibility of each individual system, customers also appreciate the very good repeatability amongst systems.

Dr. Klaus Anselm, Vice President of Semiconductor Products at AOI, comments: "Following our good experience with AIXTRON systems in the past, we will also utilize the proven Planetary® technology from Germany for our production expansion. The AIX 2800G4 has convinced us in all test phases, so that we are now looking forward to use the system for launching volume production of our next generation of optoelectronic components."

"We are very pleased that AOI has added our market-leading AIX 2800G4 tool to its existing manufacturing equipment. A system that has acquired a reputation over the past few years as the tool of record for the production of high-quality laser devices in the semiconductor industry. We are looking forward to the collaboration with AOI," says Dr. Bernd Schulte, President of AIXTRON SE.

Wednesday, April 3, 2019

Ionbond Equipment Division integrated into IHI Hauzer Techno Coating

Ionbond is pleased to announce the sale of its equipment division to fellow IHI Group company Hauzer Techno Coating.

Under Hauzer, which produces physical vapor deposition (PVD) equipment for the tribological, tool and decorative market, the equipment division will continue as an indpendent company under the name IHI Bernex. IHI Bernex will continue to work closely with the Ionbond group on key projects and developments. 

Maximize strength by expansion
Dave Doerwald, Hauzer CEO: “The Bernex portfolio is an excellent complement to the Hauzer portfolio, allowing the two companies to serve more customers together. We are both equipment manufacturers and speak the same language when it comes to doing business.” Dr. Michael Auger, Bernex CEO, adds: “Bernex is profitable and growing, and we are looking forward to the synergies that will come from working with Hauzer. Both companies have a lot to offer.”

The integration of the Ionbond equipment division into Hauzer is in line with the aim of the IHI Group to maximize synergies by aligning equipment production activities. “  Bernex will be an independent company within the Hauzer group, with the same people and the same business location in Olten, Switzerland. 


 
Leader in CVD Solutions
Bernex CVD systems set the industry standard in CVD enhancement of wear, friction and temperature protection of tools and components. Bernex machines are renowned for their reliability and have proven themselves in hundreds of installations worldwide. The company has over 45 years of experience with developing technology, equipment and recipes for CVD coatings. Auger: “CVD is in our DNA. We have expanded CVD technology to include CVA (for aluminum coatings) and CVI (for coating the internal surfaces of porous materials such as carbon fiber bodies). Our expertise in developing proprietary coatings for specific customer applications sets Bernex apart in the field.”

Bernex Expanding Production Capacity
Bernex engineering and manufacturing will remain in Olten, where it is expanding its production capacity. The Bernex Service Center Asia will remain in Kunshan, China.

For more information, see the new Bernex website: ihi-bernex.com

VEECO Demonstrates Thought Leadership at Technical Conferences in 2Q/2019


Company’s Technologists to Present Innovations that Drive Industrial Scaling of Technology Megatrends in Big Data, Artificial Intelligence, Communications, Autonomous Vehicles, Displays and More

PLAINVIEW, New York, April 2, 2019—Veeco Instruments Inc. (Nasdaq: VECO) today announced its leading technologists are scheduled to speak at seven technical events throughout Q2 2019. The forthcoming presentations, which follow several notable appearances in Q1, highlight Veeco’s depth and breadth of expertise in working with customers to break through production-scale barriers that will ultimately lead to the wide adoption of game-changing trends in big-data, high-speed communications, artificial intelligence, autonomous vehicles, high resolution displays and more.

Veeco exhibiting and presenting at EFDS ALD for Industry (March 19-20; Berlin, Germany) “Atomic Layer Deposition Use for Decorative Applications” Ganesh Sundaram, Ph.D., vice president of Applied Technology, Veeco CNT. (Photo by Martin Knaut LINK)

Through these papers, Veeco explains how it solves tough materials engineering challenges with advances in its deposition, etch, lithography and wet processing technologies used in applications such as next-generation advanced packaging, photonics, sensors, MEMS, micro-LEDs, power electronics, high bandwidth memory and related devices. Presentations in the second quarter include:

  • MRS Spring Meeting & Exhibit (April 22-26; Phoenix, AZ)—Tania Henry, process development engineer, will present “Influence of Intermixing on Perpendicular Magnetic Anisotropy of Ion-Beam-Deposited CoFeB MTJs for STT-RAM,” on April 25 during the annual meeting focused on the future of materials science
  • Critical Materials Council Conference (April 25-26; Saratoga Springs, NY)—Drew Hanser, vice president of technology, will present at the fourth annual forum for discussing actionable information related to semiconductor fab materials. Hanser’s talk, “Material Integration Challenges for GaN on Si for Power and RF Devices,” takes place on April 25 during Session II: Immediate Challenges of Materials & Manufacturing
  • Society of Vacuum Coaters TechCon (April 27-May 2; Long Beach, CA)—At one of the world’s leading vacuum coating technology conferences, senior research scientist Binyamin Rubin will discuss “Monochromatic and Broadband Optical Monitoring for Deposition of Band Pass Filters,” on April 29. The Veeco team will also be exhibiting its latest advances in ion beam deposition systems for optical coatings at booth #229
  • CS ManTech (April 29-May 2; Minneapolis, MN)—Phillip Tyler, process development engineer, will present “Development of Advanced Lift Off Processes for 5G and VCSEL Applications.” Stop by Veeco’s booth #609 to learn more about the company’s latest innovations in compound semiconductor and wet processing technologies
  • ECTC (May 28-31; Las Vegas, NV)—Dr. Ajit Paranjpe, chief technology officer, will co-author a presentation on “High-Yield Precision Transfer and Assembly of Gallium Nitride (GaN) Micro-LEDs Using Laser Assisted Micro Transfer Printing,” with experts from the University of California, Los Angeles
  • EUVL Workshop (June 10-13; Berkeley, CA)—At this year’s workshop focused on the fundamental science of EUV lithography and its continued extension to support Moore’s Law, Sandeep Kohli, principal research scientist, will present “Ion Beam Technology Roadmap for EUV Mask Deposition and Absorber Etch Processes”
  • TechConnect World Innovation Conference and Expo (June 17-19; Boston, MA)—Dr. Ganesh Sundaram, vice president of applied technology, will present “Atomic Layer Deposition for Life Science Applications” on June 17 at the Nanomedicine Symposium
Veeco scientists also presented research at a number of key technical conferences in Q1, including:
  • SPIE Advanced Lithography (Feb. 24-28; San Jose, CA)
    • “Ion Beam Etching of Advanced Absorber Materials for Sub-5nm EUV Masks”
    • “Intra-field Stress Impact on Global Wafer Deformation” (alongside ASML and imec)
  • EFDS ALD for Industry (March 19-20; Berlin, Germany)
    • “Atomic Layer Deposition Use for Decorative Applications”
  • CS International (March 26-27; Brussels, Belgium)
    • “Accelerating Photonics Growth through Advances in High-Performance Arsenic/Phosphide (As/P) MOCVD and Wet Processing Technology”
“Veeco builds production-scale fab solutions that solve tough materials engineering problems for our customers,” said Ajit Paranjpe, Ph.D., chief technology officer. “Our technical contributions to these prestigious and peer-reviewed conferences demonstrate Veeco’s commitment to remaining at the forefront of technological advances, which ultimately have a positive impact on society through life-improving applications.”

About Veeco

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit www.veeco.com.