Thursday, August 31, 2017

ASM INTERNATIONAL N.V. PUBLISHES STATUTORY INTERIM FINANCIAL REPORT

Almere, The Netherlands August 31, 2017ASM International N.V. (Euronext Amsterdam: ASM) today published its Interim Financial Report for the six month period ended June 30, 2017.This report includes an Interim Management Board Report, and Consolidated condensed interim financial statements prepared in accordance with IAS 34 (Interim Financial Reporting). The Interim Financial Report comprises regulated information within the meaning of the Dutch Financial Markets Supervision Act.
 
Source: Benzinga LINK
 

Lam Research Completes Acquisition of Coventor

FREMONT, Calif., Aug. 31, 2017 (GLOBE NEWSWIRE) -- Lam Research Corporation (Nasdaq:LRCX), a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today announced that it has completed the acquisition of Coventor, Inc., a leading provider of simulation and modeling solutions for semiconductor process technology, micro-electromechanical systems (MEMS), and the Internet of Things (IoT). The combination of Lam and Coventor supports Lam's advanced process control vision and is expected to accelerate process integration simulation to increase the value of virtual processing, further enabling chipmakers to address some of their most significant technical challenges.
 
"We see a strong synergy between our modeling capability and Lam's desire to enable virtual experimentation of process development for customers and within its business units," said Mike Jamiolkowski, president and CEO of Coventor. "We believe that our combination will increase the value we can deliver to our customers by providing more capability and improving their time to market."

The 6th ALD Lab Saxony Symposium at the SEMICON Europa 2017 in Munich, Germany

As usual ALD Lab Saxony is organizing an ALD Symposium at SEMICON Europa. This time we are back in Munich and for the first time co-located with Productronica which may open new exsiting oppertunities for ALD!

Confirmed speakers from: Picosun Oy, Fraunhofer IMS Fraunhofer IKTS, and TECHCET LLC

More iformation will be available momentairly and the event is open for sponsoring

Please contact Jonas Sundqvist for more information: jonas.sundqvist@ikts.fraunhofer.de




Picosun reports repeat sales of ALD 200 mm Batch tools to major Asian discrete device manufacturers

ESPOO, Finland, 31st August, 2017 – Picosun Oy, leading supplier of high-quality Atomic Layer Deposition (ALD) solutions for industrial production, reports of repeat sales of automated P-300BV vacuum batch production systems to major Asian discrete device manufacturers. 
The PICOSUN™ P-300BV ALD system is specially designed for production of LEDs, discrete devices, and MEMS devices such as print heads, sensors, and microphones. (picosun.com)
Even if the 300 mm wafer size is the current state-of-the-art in many key semiconductor applications, and efforts have been made to increase this size even to 450 mm, the 200 mm market is very much alive and showing no signs of tailing off. One main factor supporting this steadfast longevity is the increasing usage of substrate materials such as GaN, SiC, and sapphire, where the wafer size is limited to 200 mm, or even smaller. These substrates have many benefits over traditional silicon and they allow manufacturing of components that are central in several technologies affecting our everyday life and the modern society. Examples are LED lighting, car and transport automation, power conversion, wireless communication and remote sensing. A prime example of the last two is the rapidly spreading Internet-of-Things with the billions of sensors forming its core. All of these applications ensure that the demand for 200 mm semiconductor production equipment stays at constant high and even increases.

Friday, August 25, 2017

Applied Materials Collaborating on Advanced Ceramics and Coatings

Collaborating on Advanced Ceramics and Coatings

Applied Materials recently joined the United States Advanced Ceramics Association to deepen our connection with suppliers and application developers, and explore potential areas where our materials engineering leadership can help enable the next major technology inflections.Read moreSend to ALL languages: Unseen label

Source: Applied Materials Blog LINK
 
 

Wednesday, August 23, 2017

Semiconductor boom lead by Samsung

Semiconductor Insight reports: The quarterly results of major semiconductor companies are in and Intel is no more the largest semiconductor company. Helped by the overheated memory market, Samsung has added more than 5B$ in quarterly revenue in just four quarters. This is more than 27% of all the 18.5B$ semiconductor revenue added from Q2-16 to Q2-17. Even in a favourable market, this is a tremendous gain not seen before in the industry. The revenue gain is larger than the revenue of Samsungs key competitor Micron.

Source : Semiconductor Insight LINK

 

Monday, August 21, 2017

Alkane Resources reports that zirconium oxychloride (ZOC) prices are up 40% since January 2017

Alkane Resources reports that zirconium oxychloride (ZOC) prices up 40% since January 2017, which are the highest prices for 4-5 years.

ZOC pricing hike during 2017 (Alkane Resources, Twitter). Alkane is developing the Dubbo Project in NSW Australia, based on a large in-ground resource of zirconium, hafnium, niobium and rare earths, with operations scheduled for 2018.

In contrast to hafnium, zirconium is practically transparent to thermal neutrons, and it is commonly used for the metal components of nuclear reactors – especially the claddings of their nuclear fuel rods. This property makes it crucial to the expanding Chinese nuclear supply chain industry and is one of the main reasons for the current upward price trend.

Besides the use of ALD deposited ZrO2 in DRAM memory cell capacitors, the leading end users of zirconia (ZrO2) are for ceramics, foundry sand (for metal sandcasting, over 70% of all metal castings are produced via sand casting process), opacifiers in glass, and refractories for material must withstand extremely high temperatures (e.g. furnaces). Other end uses of zircon include abrasives, chemicals (predominantly, zirconium oxychloride octohydrate and zirconium basic sulfate as intermediate chemicals), metal alloys, and welding rod coatings.

ZOC is also the main source for the >50 tonnes global demand of hafnium of which about 2 tonnes is heading for the electronics and semiconductor industry. In semiconductor manufacturing hafnium is mainly employed as hafnium oxide in leading edge CMOC Logic for smartphones, laptops, tablets and servers. The ultra thin HfO2 (about 2 nm) is deposited by an ALD process using solid HfCl4 evaporated from an highly advanced solid source evaporator directly integrated next to the ALD process chamber. Since the introduction in 2007 by the Intel 45nm technology ASM International has totally dominated the high-k gate oxide market with its Pulsar 3000 ALD chambers.

Today about half of all hafnium metal manufactured is produced as a by-product of zirconium refinement and the end product of the separation is HfCl4. HfCl4 hcan be processed further by the so called Kroll process (reduction with Mg at 1100 °C) to extract metallic hafnium metal sponge according to:

HfCl4 + 2 Mg -->  2 MgCl2 + Hf 

Please contact TECHCET for more deeper insigths into the high-k metal precursr supply chain : 


(Solid State Technology) Precursors tracked by TECHCET for ALD/CVD of metal and high-k dielectric films on IC wafers include sources of aluminum, cobalt, hafnium, tantalum, titanium, tungsten, and zirconium. The total market for 2017 is now estimated to be US$435M, growing to US$638M in 2021. The top-2 suppliers are estimated to hold more than half of the total available market, with many players competing to supply the next enabling molecule. In particular, cobalt precursor demand is forecasted to reach >$80M in 2021 as foundries transition to below 14nm-node processing. As a potential conflict mineral, TECHCET tracks the sub-suppliers of cobalt.

“Metal precursors have had double-digit growth over an extended period of time, and we expect that to continue as the IC industry transitions to 10nm- and 7nm-node logic and 3D-NAND fabrication, with an average long term CAGR of 11% over 2013 to 2021,” says Dr. Jonas Sundqvist, lead author of the report, senior technology analyst with TECHCET and researcher with Fraunhofer IKTS. “Dielectric precursors growth today is clearly driven by dielectric PEALD deposition in multiple patterning, and by dielectric CVD in 3D-NAND.”

ALD & CVD High-k and Metal Critical Material Report (LINK)

 

Hynix looking to acquire Toshiba

Hynix is looking to acquire Toshiba's memory business, says a study from the Korea Institute for Industrial Economics and Trade (KIET) as reported by the Korea JoongAng Daily newspaper. Hynix is part of the INCJ/Bain consortium bidding for Toshiba, but it has always said it was only looking to provide finance. However it did transpire ...This story continues at Hynix looking to acquire Toshiba says Korean report.Or just read more coverage at Electronics Weekly

Source: Electronics Weekly LINK

Saturday, August 19, 2017

OSRAM Opto Semiconductor Acquires MOCVD Systems from AIXTRON

AIXTRON announced that OSRAM Opto Semiconductors has purchased the company's AIX 2800G4-TM Planetary system for the manufacturing of mainly infrared-based high power lasers and LEDs based on gallium arsenide (GaAs).

Source: LEDinside LINK
 
 
Photo credit: Aixtron (www.aixtron.com)
 
From Aixtron Press release: “We are very pleased that OSRAM Opto Semiconductors has selected our AIX 2800G4-TM platform for the production of high power laser and infrared LED devices. Their trust in our AIX 2800G4-TM system confirms our strategy to focus on solutions for the most demanding applications, where superior process performance is mandatory to meet our customer’s requirements. Following the recent qualification of our AIX G5 C platform and achieving this key milestone also with the AIX 2800G4-TM, we are looking forward to further deepen our partnership with one of the most innovative semiconductor manufacturers worldwide”, explains Dr. Frank Schulte, Vice President AIXTRON Europe.
 

Wednesday, August 16, 2017

BALD News - Don´t miss any atomic level processing news!

Here is a service provided by BALD Engineering that you can order your own personalized e-mail news service for the two News Blogs operated by BALD Engineering. The feed can also be integrated into any feed reader you may be using or if you like to provide the news feed on your website. You´re welcome to do so - it is for free! 

There is a obvious unsubscribe link at the beginning of each e-mail so when ever you´re feeling fully saturated just click on the link to unsubscribe ;-)

This is especially convenient for those of you in countries were LinkedIn is currently blocked, which is typically the way of getting the news otherwise. Just click on the links below and you can set it up as you like (two examples as screendumps below).

BALD News Blog: http://feeds.feedburner.com/AldNewsBlog-BaldEngineering

BALD Financials: http://feeds.feedburner.com/BaldFinancials



Tuesday, August 15, 2017

Oxford Instruments Plasma Technology announces a new partner in Korea

Oxford Instruments Plasma Technology is delighted to announce that it has signed an Agent Agreement with Woowon Technology Co. Ltd. in Korea. Woowon, established in 1990, are experts in the semiconductor industry, offering a wealth of experience to customers engaged in the development and manufacture of Semiconductor, LED, Solar Energy and MEMS devices.

Source : Nanotechnology Now (LINK)


Wonik IPS looks promising on increased demands for semicon equipment

As reported by Pulse: According to Seoul-based market data provider FnGuide, Wonik IPS’s operating profit for the second quarter ended June is estimated to have been a record-high of 42.1 billion won ($37.4 million). Sales and operating profit for full 2017 are also expected to reach 581.1 billion won and 123.1 billion won, respectively, versus 244.1 billion won and 28.7 billion won last year, according to the data provider. Market analysts forecast the corresponding figures could go higher to 660.8 billion won and 144 billion won next year. 



Wonik IPS, separated from Wonik Holdings in April 2016, runs two mainstay operations. Its semiconductor business manufactures plasma-enhanced chemical vapor deposition (PECVD) and atomic layer deposition (ALD) equipment, while display business is responsible for supplying in-factory delivery equipment. As of last year, sales from its semiconductor business accounted for 70.6 percent of its entire sales while display business for the remainder. 

Thursday, August 10, 2017

Dow & DuPont Set Aug. 31 for Closing of Historic Chemical Merger

Bloomberg reports that: Dow Chemical Co. and DuPont Co., the two largest U.S. chemical makers, have received all the regulatory approvals needed to close their historic merger.

The deal will be completed after the stock market closes on Aug. 31, the companies said in a statement Friday. Shares of DowDuPont Inc. will begin trading Sept. 1 under the ticker DWDP.

KKR puts planned buyout of Hitachi Kokusai on hold

TOKYO (Reuters) - U.S. buyout firm KKR has put on hold a planned acquisition of Hitachi Ltd's chip making equipment and video solution business, the companies said, citing issues over the terms of the deal.

KKR in April agreed to buy Hitachi Kokusai Electric in a deal valuing the company at about $2.3 billion and was due to buy up to 48.33 percent of the company at 2,503 yen per share through a tender offer as a first step in the process. The tender offer was due to start as early as Thursday. 


But a third-party committee reported to board of directors at Hitachi Kokusai Electric that it no longer supported the terms of the planned transaction which could be disadvantageous to minority shareholders of Hitachi Kokusai.

On April 26, when KKR announced the deal, Hitachi Kokusai shares closed at 2,675 yen, 6.9 percent higher than the KKR's offer price. The stock has since risen above that level and closed at 2,894 yen on Wednesday.

KKR said the third-party committee "finds it difficult at the current time to maintain its opinion that the legitimacy and propriety of the tender offer price and share repurchase price are ensured..."

As part of the deal, Hitachi Kokusai was also planning to buy a 51.67 percent stake held by its parent Hitachi at 1,710 yen a share and then cancel the shares.

KKR is also planning to sell a 40 percent stake in Hitachi Kokusai's video solutions business to Hitachi and a Japanese investment fund, Japan Industrial Partners Inc.

KKR said that it would continue discussions with Hitachi Kokusai, Hitachi and Japan Industrial Partners on its plans regarding the tender offer, whether to go ahead with it and its possible timing.

Tuesday, August 8, 2017

Silicon Wafer Shortage Starts in 2018

TECHCET's model shows all slack soon gone from supply-chain for both 300mm and 200mm diameter

San Diego, CA, July 27, 2017:  TECHCET CA—the advisory service firm providing electronic materials information—today announced that the silicon wafer supply for semiconductor device fabrication is forecasted to appreciably lag demand starting next year, and could remain in shortage through the year 2021 despite investments in China. Silicon wafer area demand is forecasted to steadily increase at a CAGR of ~3.1% over the 2016-2021 period to reach over 13,000 million square inches (MSI). Executives of silicon wafer suppliers have stated that average selling prices have remained too low to allow for investment in 300mm expansions, as detailed in a quarterly update to the TECHCET Critical Materials Report™, “Silicon Wafers Market & Supply-Chain."

 
The silicon wafer supply-chain is dominated by two suppliers--Shin-Etsu Handotai and SUMCO--combining to capture almost two-thirds of the global wafer market in 2016, and the top five representing over 92% of total revenues. The silicon wafer market is maturing as evidenced by recent mergers and acquisitions, the two most notable being the acquisition of SunEdison Semi by GlobalWafers (Taiwan) and the assumption of majority ownership of LG Siltron by SK Holdings (Korea).

"Over the last five years, the average selling price per square inch of semiconductor-grade silicon wafers has declined by about a third and more than a half from the 2007 level," explained Michel Walden, lead author of the report and senior technology analyst with TECHCET. “However, current tightness in the supply-chain has led to greater stability and even price increases in some cases, all of which is likely needed for the long-term health of the wafer suppliers.”

Over the past few years, silicon suppliers decommissioned roughly 25% of the peak capacity for 200 mm wafers. Of the remaining 200 mm capacity, roughly 65 % of the total demand is for epitaxial (epi) wafers, and a series of epi service companies have embraced this opportunity and provide a variety of layer configurations for their customers.

Global suppliers of silicon wafers covered in this report include:
  • GlobalWafers Silicon,
  • LG Siltron,
  • National Silicon Industry Group,
  • Okmetic Ojy,
  • Siltronic,
  • Shin-Etsu Chemical Co. Ltd. (SEH),
  • SUMCO Corp.,
  • SunEdison Semiconductor,
  • Wafer Works, and
  • Zing Semiconductor.


ABOUT TECHCET:  TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott or Michel Walden at info@cmcfabs.org  +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org  +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org
 

Friday, August 4, 2017

Semiconductor Capital Spending Is Forecast to Grow 10.2 Percent in 2017

Gartner Says Worldwide Semiconductor Capital Spending Is Forecast to Grow 10.2 Percent in 2017

(Gartner press release, August 2, 2017) Worldwide semiconductor capital spending is projected to increase 10.2 percent in 2017, to $77.7 billion, according to Gartner, Inc. This growth rate is up from the previous quarter's forecast of 1.4 percent, due to continued aggressive investment in memory and leading-edge logic which is driving spending in wafer-level equipment (see Table 1).

"Spending momentum is more concentrated in 2017 mainly due to strong manufacturing demand in memory and leading-edge logic. The NAND flash shortage was more pronounced in the first quarter of 2017 than the previous forecast, leading to over 20 percent growth of etch and chemical vapor deposition (CVD) segments in 2017 with a strong capacity ramp-up for 3D NAND,"
said Takashi Ogawa, research vice president at Gartner.

According to Gartner's latest view, the next cyclical down cycle will emerge in 2018 to 2019 in capital spending, compared with 2019 to 2020 in the previous quarter's forecast. "Spending on wafer fab equipment will follow a similar cycle with a peak in 2018. While the most likely scenario will still keep positive growth in 2018, there is a concern that the growth will turn negative if the end-user demand in key electronics applications is weaker than expected," said Mr. Ogawa.

Worldwide Semiconductor Capital Spending and Equipment Spending Forecast, 2016-2020(Millions of Dollars)
 

Thursday, August 3, 2017

Silicon wafer shortage starts in 2018

TECHCET CA, an advisory service firm providing electronic materials information, today announced that the silicon wafer supply for semiconductor device fabrication is forecasted to appreciably lag demand starting next year, and could remain in shortage through the year 2021 despite investments in China. Silicon wafer area demand is forecasted to steadily increase at a CAGR of ~3.1% over the 2016-2021 period to reach over 13,000 million square inches (MSI). Executives of silicon wafer suppliers have stated that average selling prices have remained too low to allow for investment in 300mm expansions, as detailed in a quarterly update to the TECHCET Critical Materials Report, “Silicon Wafers Market & Supply-Chain.”

Full story - Source: Solid State Technology LINK

 

Tuesday, August 1, 2017

Versum Materials Reports Third Quarter Fiscal 2017 Financial Results

TEMPE, Ariz.-(BUSINESS WIRE)-Versum Materials, Inc. (NYSE: VSM), a leading global materials and equipment supplier to the semiconductor industry, today reported results for the fiscal third quarter ended June 30, 2017. Sales of $290.8 million were up 19.8% from the same quarter a year ago, driven by robust volume growth from both business segments, Delivery Systems.

Source: Blackbird LINK


Materials:
Sales for the fiscal third quarter ended June 30, 2017 were $206.4 million, up 6.7% from the same quarter a year ago. This increase was led by strong growth in Advanced Materials with flat results in Process Materials due to capacity limitations and unfavorable price/mix. Currency contributed 1% to the increase.

Delivery Systems & Services (DS&S):
Sales for the fiscal third quarter ended June 30, 2017 were $83.5 million, up 69.7% from the same quarter a year ago, primarily driven by continued strong equipment sales growth due to robust demand from the memory market, next generation nodes and growth in China.





San’an Optoelectronics qualifies AIXTRON Showerhead Reactor for production of Deep UV LEDs

Novel process chamber design enables enhanced fabrication of high performance Deep UV LEDs

AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that globally leading Chinese optoelectronics manufacturer San’an has successfully completed the qualification of AIXTRON’s novel Close Coupled Showerhead (CCS) process chamber designed for the production of Deep Ultra Violet (DUV) LEDs.

The new process chamber is based on AIXTRON’S well proven CCS technology. It is the first commercially available MOCVD reactor that offers the extremely high process temperatures as needed for the production of DUV LEDs. The enhanced chamber performance facilitates cost-efficient high volume manufacturing of DUV LEDs as used for water and air purification. The configuration of 19 x 2-inch wafers represents the largest capacity currently available in the market for this high end MOCVD application.