Monday, November 26, 2018

Applied Materials is getting closer to losing its dominance in the Semiconductor Equipment market

According to Seeking Alpha, Applied Materials is getting closer to losing its dominance in the Semiconductor Equipment market
  • Applied Materials recently reported semiconductor equipment revenue growth dropped 16.0% compared to the previous quarter due largely to pushouts in equipment by memory customers.
  • Applied Materials has been losing market share to competitor Tokyo Electron since 2017 and is close to losing its long-held title of semiconductor equipment leader.
  • 60% of Applied Materials revenues was due to purchased by memory companies, but DRAM and NAND capex spend is projected to drop in 2018 and 2019.
 
 
Source: Seekeing Alpha LINK

No comments:

Post a Comment