Sunday, October 30, 2016

ALD news & future outlook from the 3Q 2016 ASM Interlational earnings call

Here is a summary and some personal reflections of the ASM International 3Q/2016 earnings call (Full version at SeekingAlpha) having the ALD binoculars on, as always. In any, case nothing else than ALD was discussed and the main focus was on single wafer ALD and not batch furnace directly. There was however an interesting question from ING on the rumors that ASM will place an offer on Hitachi Kokusai, which Chuck del Prado declined to comment on other than in general terms that ASM has an organic growth strategy and will take opportunities if they give long term growth and therefore share holder value.

My take on this is that we know ASM has made very successful acquisitions in the past, i.e., Microchemistry Oy Finland (ALD technology) and Genitech South Korea (PEALD) and both acquisitions have become crucial fundaments to ASM ALD domination today. However, ASM has not been that successful in ALD Large Batch business, which is dominated by Tokyo Electron and Hitachi Kokusai.

Kokusai also have Batch SiGe Epi process which could add to the ASM Epi business. Based on this it makes sense for ASM to acquire Kokusai. Timing is also good since it is also for sale and ASM has a lot of cash so it is very interesting times. However, you can imagine that also other OEMs are interested in Hitachi Kokusai so let´s see what the outcome will be.

Over to the call - ASM International President and CEO Chuck del Prado reported that as usual the ALD business was again the main driver in the third quarter. The revenue was led by foundry, followed by memory (3DNAND & DRAM) and not so much by logic, which decreased compared to 2Q/2016. The driver for in foundry and logic was driven by 10 nm investments taking place and in memory there was a drift from DRAM towards 3D NAND.

Foundry & Logic

The transition to 10 nm shows an increase in the number of ALD layers for which ASM has been been claiming additional business as compared to the previous 14 nm 16 nm generation. ASM expect to book record revenue in the foundry segment this year compared to previous years.

Memory

Following strong spending levels in 2015 DRAM the  spending went down substantially in 2016. A recovery in DRAM spending has been pushed out and is not expected to occur before mid 2017. The key driver will then be the 1X technology node (Samsung, Hynix and Micron).

For NAND flash that is in transition, the single wafer ALD market and customer spending in NAND flash has shifted from planar NAND to 3D NAND. As a consequence the multiple patterning in planar NAND has close to disappeared by now.

For 3D NAND Chuck del Prado announced that ASM has booked multiple XP8 tool orders for a number of ALD applications in the third quarter and ASM expect double digit growth in the 3D NAND single wafer ALD market in 2017.

For Xpoint (Intel & Micron) ASM announced that they have a R&D engagement for quite some time and are ready for the that market to take off. 
Eagle XP8 is a high productivity 300mm tool for PEALD applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production within a very compact footprint. (www.asm.com)

Future outlook of the ALD market

ASM maintain their forecast that the single wafer ALD market will show a double digit percentage decline in 2016. As explained above due to a significant drop in the memory segment, both in the DRAM and in NAND flash. The drop is only partially offset by a substantial increase in the logic/foundry segment, i.e., 10 nm investments.

For 2017 ASM expectation is that the (single wafer) ALD market will improve due to growthin logic/foundry and 3D NAND applications. However, DRAM spending will come later (mid 2017).


"... the longer term outlook for the single wafer ALD market, the outlook for structural growth remains strongly driven by miniaturization and the introduction of new materials and new complex device architectures. We still estimate that these markets, this market to double by the 2018, 2019 timeframe. Given the decline in the market in 2016, it is more likely that this will happen in 2019 than in 2018." - Chuck del Prado 

Chuck del Prado summarized the growth drivers in single wafer ALD to:
  • Complexity and low temperature requirements of advanced FinFET structures drive a strong increase in new ALD applications and layers.  
  • ALD-based multiple patterning is a key enabler of the 10 nanometer transition and also the 7 nanometer node in logic/foundry, and the transition from 14/16 nanometer to 10 nanometer and 7 nanometer, over a multiyear period, will expand the single wafer ALD served available market in logic/foundry to more than double in total. 
  • In DRAM, we expect multiple patterning to remain a steady contributor for the coming technology transition. 
  • In NAND, from a lower base in 2016, we foresee a steady increase in the number of single wafer ALD applications as customers transition to next generation higher stack 3D NAND devices in the coming years.
During the questioning at the end of the call Chuck del Prado reassured the statement form earlier that the the more than doubling in ALD growth is the transition from 16/14 nm to 10 and 7. I assume he also include the single wafer opportunities in 3DNAND and the 1x nm DRAM invest up ahead.

For us ALD R&D guys it would mean that we would need to increase our R&D effort all the same and plan for >1600 delegates at the next European ALD conference 2020 as ALD2016 Ireland had 811 delegates - or has ALD transitioned form a technology push to a Industry pull already some years ago?
 

No comments:

Post a Comment