Tuesday, November 27, 2018

Globalfoundries Fab 11 will ramp straight on to 22nm FD-SOI process for Chinese customers

[Andantech] Fab 11 was/is built as a partnership between GlobalFoundries and the Chengdu municipality. The original plan for the Chengdu fab included two phases with an aggregated capacity of approximately 1,000,000 wafers per year when both operate at full capacity. The first phase was to start operations in 2018 and process around 20,000 wafers per month using mainstream 180/130 nm fabrication technologies originally developed by Chartered for various general-purpose chips. The second phase was to begin operations in 2019, use GlobalFoundries’ 22FDX process and gradually expand its capacity to 65,000 wafers per month. At the same time, one of the terms of the agreement between GF and the Chengdu municipality was to develop an FD-SOI ecosystem locally.
 
 
Based on various reports, GlobalFoundries has failed to land orders for chips to be made using bulk 180/130 nm fabrication processes. As a result, the company will not equip its Fab 11 for those processes, but instead will jump straight to 22FDX. So far, GlobalFoundries has landed orders from six customers in China for 22FDX chips and these clients are currently ramping up their wafers at Fab 1 in Dresden, Germany.

“Given GlobalFoundries' renewed focus on differentiated offerings and discussions with potential clients, we have decided to bypass the original phase-one investment in mainstream process technology,” a GlobalFoundries executive said in an interview with DigiTimes. “In order to meet demand from China for differentiated offerings including our 22FDX technology, we have to revise the original timeline in order to better align capacity.”
 
Source: Andantech LINK


No comments:

Post a Comment