Wednesday, October 31, 2018

AIXTRON continues profitable growth in Q3/2018

Strong demand for power electronics, laser and ROY LED applications boosts order intake / Earnings expectation increased.

Order intake including spare parts and service improved by 16% over the previous year in 9M/2018 to EUR 230.3m. This positive development is mainly due to the continued demand for MOCVD systems for the production of power electronics and lasers such as surface-emitting (VCSEL, Vertical-Cavity Surface-Emitting Laser) or edge-emitting (EEL, Edge Emitting Laser) lasers for applications in 3D sensing or optical data transmission as well as red, orange and yellow (ROY) LEDs. 

"In this fiscal year, we are benefiting from the increasing market penetration of new display and communication technologies worldwide. Our MOCVD systems for ROY LEDs and laser applications such as VCSEL or EEL, which are particularly in demand in the field of 3D sensor technology or optical data transmission, have established themselves as technically leading systems. The growing orders from power electronics are due to the increasing use of components based on gallium nitride or silicon carbide for energy-efficient communication, automotive energy management, consumer electronics and mobile devices," added Dr. Felix Grawert, President of AIXTRON SE.

Source:
Aixtron (LINK)
 

Saturday, October 27, 2018

Globalfoundries, Chengdu government realign JV strategy


Globalfoundries and the Chengdu municipality have signed an amendment to their investment and cooperation agreement. Based on market condition changes, Globalfoundries' renewed focus on differentiated offerings and discussions with potential clients, the two firms have decided to bypass the original phase one investment in mainstream process technology (180/130nm). It is also agreed that the project's timeline will be adapted to better align capacity to meet demand from China for differentiated offerings including Globalfoundries' 22FDX technology.
 
With more than US$2 billion of design wins and more than 50 client designs, Globalfoundries' 22FDX technology is demonstrating traction as the industry's leading platform for power-optimized chips across a broad range of high-growth applications such as automotive, 5G connectivity and IoT. Globalfoundries' China-based clients have begun to adopt the technology at Globalfoundries' advanced manufacturing site in Dresden, Germany, including seven customers and more than nine products in various stages of manufacturing ramp.

Source: DIGITIMES LINK

Aixtron and Iruja Co. Ltd. sign JV agreement


A Joint Venture agreement signed by Aixtron SE, Germany and Iruja Co. Ltd., South Korea covers the investment in Apeva, Aixtron's subsidiary for OLED deposition technologies. Closing of the Joint Venture Agreement is expected during 2018. 
 
A major Asian OLED display maker is currently evaluating OVPD (Organic Vapor Phase Deposition) based technology together with APEVA: A Gen1-size prototype has been operating at the customer's facility for well over a year. A larger-scale Gen2-size prototype is currently being installed in the customer facility. In the event of the successful qualification of the equipment, a customer order for a first production size OVPD deposition chamber is expected to be received in 2019.
 
Source: Evertiq Read full article »

Intel reports progress on 10 nm

[EETimes LINK] Intel said that it’s making progress on improving 10-nm yields and reiterated its pledge to have 10-nm chips shipping by the 2019 holiday season.

In a conference call with analysts following a financial report that beat analysts’ expectations for the 12th straight quarter, Venkata (Murthy) Renduchintala, president of Intel’s Technology, Systems Architecture and Client Group, said that 10-nm yields are now tracking roughly in line with what the company experienced at the 14-nm node when it prepared to make that transition. 


“We’re still very much reinforcing and reaffirming our previous guidance that we believe that we’ll have 10 nm shipping by holiday of 2019,” said Renduchintala. “And if anything, I feel more confident about that at this call than I did on the call a quarter ago. So we’re making good progress, and I think we’re making the quarter-on-quarter progress that’s consistent with prior generations having reset the progress curve.”

Source : EETimes LINK

Thursday, October 25, 2018

NCD contracted to supply new ALD equipment for production of µ-OLED with LG Display

Korean ALD equipment manufacturer, NCD reports new order of µ-OLED ALD equipment from LG Display

"NCD has recently contracted with LGD to supply µ-OLED manufacture equipment which is new Lucida GuD Series for Al2O3-ALD encapsulation to avoid OLED degradation induced water and oxygen. Newly introduced Lucida GuD is high volume batch-type ALD equipment based on the process and hardware of OLED encapsulation technology of Lucida GD Series.

µ-OLED produced by this system is micro display with high resolution for augmented reality(AR) and virtual reality(VR) and has been expected the huge application and market in the future.

Otherwise, manufacture of µ-OLED requests high productivity like display industry therefore ALD tools with high film quality and low throughput for Semiconductor couldn’t meet the need of the customers and the market. So NCD is more expecting that this µ-OLED is next growth engine market because it has high volume ALD equipment and excellent OLED encapsulation technology.

NCD will lead µ-OLED ALD equipment market with this starting point and continue to make efforts to become the world’s best specialized ALD technology company.”





LucidaTM GuD Series




KLA-Tencor Announces Plans to Establish R&D Facility in Ann Arbor, Michigan


[KLA-Tencor LINK] KLA-Tencor Corporation (NASDAQ: KLAC) has announced plans to establish a research-and-development (R&D) center in Ann Arbor, Michigan. The development is expected to include a total capital investment of more than $70 million and create up to 500 new high-tech jobs in the region over the next five years.

"Among the reasons for building a major R&D hub in the Ann Arbor and Detroit metropolitan area are the region's attractive talent pool, relative low cost of living and proximity to Detroit Metropolitan Airport," said Bobby Bell, chief strategy officer. "Our plan is to develop innovative solutions that will have an impact across a broad spectrum of semiconductor and electronics applications, including data storage, cloud computing, machine learning and automotive."

Wednesday, October 24, 2018

SK Hynix posts record third-quarter profit as chip outlook dims


South Korea's SK Hynix Inc posted record third-quarter operating profit on Thursday despite softer chip prices, beating expectations thanks to a seasonal sales boost for mobile devices and strong server demand.

Source: Reuters LINK

Tuesday, October 23, 2018

Samsung, SK Hynix stepping up DRAM EUV technology R&D



Samsung Electronics and SK Hynix have already kicked off their respective DRAM EUV technology R&D, with Samsung likely to soon move the process to commercial production, according to Korea media reports.
 
Samsung has announced that it will soon incorporate EUV technology into 7nm fabrication process before extending the technology to DRAM production, Korea's Business Post has reported.
 
SK Hynix recently also announced a plan to build a new DRAM plant in Icheon City, South Korea, with the plant to adopt the latest EUV technology now under development by the company.
 
Source: DIGITIMES LINK

Monday, October 22, 2018

Shaanxi Kuntech launch flexible semiconductor service manufacturing Base Project

OLED-Info reports: The press conference for the landing and launching of Shaanxi Kuntech Flexible Semiconductor Service Manufacturing Base Project was grandly held in West Fengxi New City, West Xian Xin New District, Shaanxi province on the morning of October 16, with its theme being Shinning Shaanxi and Shaping the Future World".

Kuntech is the first high-end enterprise in China that focuses on the development and autonomy of flexible semiconductors and advanced display technologies. It develops and actively accumulates intellectual property with the highest intensity focus technology, and achieves technology autonomy and freedom to operate. Facing the huge challenges of future display and semiconductor development, Kuntech Semiconductor continues to innovate and advance in the field of flexible semiconductor display through a series of revolutionary technologies.

It is reported that Shaanxi Kuntech flexible semiconductor service manufacturing base is a major science and technology industry project of Shaanxi Province, China. The total investment of the project is 6 billion USD. The project includes a world-class flexible semiconductor and display technology R&D certification center, a strong and complete flexible semiconductor and display industry chain, and the sixth-generation flexible AMOLED demonstration production line with its production capacity being 30K large substrates per month, featuring three major processes (array, AMOLED EL, flexible module). The size of the substrate is 1500mmx1850mm.
Full story : OLED-Info LINK

Saturday, October 20, 2018

Micron: Micron to buy out Intel's share of flash joint venture for $1.5 billion


Micron Technology Inc. said late Thursday it plans to buy out Intel Corp.'s share of a flash memory joint venture that the two chip makers have participated in for more than a decade.

Source: MarketWatch LINK

Samsung Electronics Starts Production of EUV-based 7nm LPP Process

 
[SAMSUNG news.samsung.com] Samsung Electronics, a world leader in advanced semiconductor technology, today announced that it has completed all process technology development and has started wafer production of its revolutionary process node, 7LPP, the 7-nanometer (nm) LPP (Low Power Plus) with extreme ultraviolet (EUV) lithography technology. The introduction of 7LPP is a clear demonstration of Samsung Foundry’s technology roadmap evolution and provides customers with a definite path to 3nm.

The commercialization of its newest process node, 7LPP gives customers the ability to build a full range of exciting new products that will push the boundaries of applications such as 5G, Artificial Intelligence, Enterprise and Hyperscale Datacenter, IoT, Automotive, and Networking.

“With the introduction of its EUV process node, Samsung has led a quiet revolution in the semiconductor industry,” said Charlie Bae, executive vice president of foundry sales and marketing team at Samsung Electronics. “This fundamental shift in how wafers are manufactured gives our customers the opportunity to significantly improve their products’ time to market with superior throughput, reduced layers, and better yields. We’re confident that 7LPP will be an optimal choice not only for mobile and HPC, but also for a wide range of cutting-edge applications.”

Wednesday, October 3, 2018

Sharp launchces its first flexible OLED phone - Aquos Zero

OLED Info reports that Sharp's OLED displays are being produced at Sharp's 4.5-Gen Taki plant which has been converted (partially) to OLED production. and thet these will be used for their upcoming flexible displays in the new Aquos Zero smartphone.

ANSYS Achieves TSMC Certifications for 7nm FinFET Plus Process Technology and Integrated Fan-Out With Memory


ANSYS announced TSMC certified ANSYS solutions for the 7 nanometer FinFET Plus (N7+) process node with extreme ultraviolet lithography (EUV) technology and validated the reference flow for the latest Integrated Fan-Out with Memory on Substrate (InFO_MS) advanced packaging technology. The certifications and validations are vital for fabless semiconductor companies that require their simulation tools to pass rigorous testing and validation for new process nodes and packaging technologies.

ANSYS® RedHawk™ and ANSYS® Totem™ are certified for TSMC N7+ process technology that provides EUV-enabled features. Certification for N7+ includes extraction, power integrity and reliability, signal electromigration (EM) and thermal reliability analysis.
 
Source: 4-traders LINK

Tuesday, October 2, 2018

SK Hynix to Start Producing 96-Layer 3D NAND Flash Chips



SK Hynix will hold a completion ceremony for its M15 semiconductor plant in Cheongju, North Chungcheong Province, on October 4 and start producing fifth-generation 96-layer 3D NAND flash chips. This will further solidify its position as the world’s second-largest memory semiconductor manufacturer.

The company invested 15 trillion won (US$13.5 billion) in M15, which will produce fourth-generation 72-layer NAND flash chips before churning out the 96-layer NAND flash chips from early next year. The latter is currently the most advanced 3D NAND technology, in which 96 cells as minimum data storage units are stacked for a 30% to 40% improvement in speed, capacity and power consumption as compared with the former.

Source: BusinessKorea LINK

Monday, October 1, 2018

IDMs keen to develop advanced power devices with SiC, GaN


International IDMs including STMicrolectronics, Infineon and TI are aggressively developing power devices using third-generation compound semiconductor materials silicon carbide (SiC) and gallium nitride (GaN), seeking to secure a preemptive presence in the high-end market for new energy vehicle, datacenter and AI applications, according to industry sources. 
 
Source : DIGITIMES LINK