Sunday, January 27, 2019

Semiconductor Unit Shipments Exceeded 1 Trillion Devices in 2018

According to SemiWiki citing IC Insights McClean Report [LINK], the Semiconductor units forecast to increase 7% in 2019 with IC units rising 8%, O-S-D units growing 7%. Annual semiconductor unit shipments, including integrated circuits and optoelectronics, sensors, and discrete (O-S-D) devices grew 10% in 2018 and surpassed the one trillion unit mark for the first time.


Friday, January 25, 2019

Oxford Instruments Partners ITRI for Micro LED Development with Plasma Etch Solutions

[LED Inside, LINK] Oxford Instruments Plasma Technology (OIPT) announced that it has worked with Taiwan’s Industrial Technology Research Institute (ITRI) by providing multiple PlasmaPro 100 systems including both etch and deposition for ITRI’s Micro LED R&D program.

 
 
The PlasmaPro 100 ICP process solutions are designed to support leading edge device applications such as Lasers, RF, Power and advanced LEDs.

Thursday, January 24, 2019

Volkswagen invests USD 10 M in US ALD start-up Forge Nano for battery material research

The Volkswagen Group is investing US$10 million in the start-up Forge Nano Inc with a view to reinforcing its specialist knowledge in the field of battery research. Forge Nano is investigating a material coating technology that could further improve the performance of battery materials. As a partner, Volkswagen will provide support for industrial trials of this technology. The transaction is still subject to approval by the authorities. 

(forgenano.com)

Volkswagen has been collaborating with Forge Nano on advanced battery material research since 2014. The startup with headquarters in Louisville, Colorado, is investigating processes for scaling atomic layer deposition (ALD) to create new core-shell materials, especially for battery applications. ALD is a chemical process for applying atomic scale coatings one atom at a time. With its specific ALD technology, Forge Nano aims to boost energy density of vehicle battery cells.
For example, a higher energy density would have positive effects on the range of electric vehicles. Volkswagen has been lending their automotive and battery expertise towards Forge Nano’s applied research efforts.

The Volkswagen Group is consistently forging ahead with its electric offensive and intends to offer more than 50 battery-electric models by 2025, accounting for about a fifth of its entire model portfolio. To safeguard this approach, Volkswagen is cooperating with strategic battery cell suppliers and developing its own specialist know-how in battery research. This also includes targeted venture investments. Volkswagen is increasingly investing in international start-ups to bring innovative technologies forward to production maturity together.

Dr. Axel Heinrich, Head of Volkswagen Group Research, said: “At Volkswagen, we want to be the world’s leading provider of e-mobility. We are continually expanding the battery technology know-how required for this purpose. We need to safeguard our technological competence for the future. Cooperation with start-ups is a key element in these efforts. We are acting as a partner to Forge Nano and intend to provide the team with opportunities to carry out industrial trials with its innovative technology.”

Dr. Paul Lichty, Founder and CEO of Forge Nano, said: “Our atomically precise surface engineering technology is ushering in a new era of high performance materials. We are excited to partner with a company that has such a strong commitment to commercializing innovation.”

Wednesday, January 23, 2019

Intel is planning to break ground on a major 7nm manufacturing expansion at D1X fab

The Oregonian reports (LINK) that Intel is planning to break ground on a major 7nm manufacturing expansion at D1X fab. The new fab space would reportedly be the same size as the first two phases of the plant, at 1.1 million square feet. Intel has instructed its contractors to expect an 18-month contract cycle, followed by several months of additional equipment installation. 
If accurate, this suggests a fairly significant capacity build-out. Given the timelines under discussion and the bring-up time associated with firing up a new fab, a mid-2019 announcement with a 24-30 month timeline from breaking ground to full production would put EUV rolling out at Intel by mid-2021 or early 2022. Just remember that Intel doesn’t necessarily need to launch EUV from D1X, which means we could see an earlier introduction if the technology tips up from a different fab first.

----------
By Abhishekkumar Thakur

ASM International enhances ALD productivity with new 300 mm XP8 Quad Chamber Module

ASM International enhances ALD productivity with new very competetive 300 mm XP8 Quad Chamber Module providing:
  • High productivity platform for PEALD and PECVD Processing of up to 16 wafers at a time.
  • Integrated processing using both the "old" Double (DCM) and new Quad Chamber Modules (QCM) for flexibility and productivity optimization.
  • Earlier in 2018 ASM relaunched the two industry ALD workhorse chambers, Pulsar Thermal ALD and Emerald PEALD used for e.g. HKMG,  as Double module on XP8 - this now means that all ASM ALD and PEALD chambers can be used on the high productivity platfrom XP8 eilter sa DCM or QCM or both.
[ASM News, LINK] ASM International N.V. (Euronext Amsterdam: ASM) today introduced its XP8 QCM tool for high-productivity 300mm single-wafer plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) applications. The QCM, or Quad Chamber Module, is a process module with four tightly integrated process reactors. Up to four QCM modules can be configured to each XP8 platform, enabling processing of up to 16 wafers at a time. 
Quad chamber modules (QCMs) 30, 32, 34, and 36 are connected to four side surfaces of the vacuum chamber 22. Each QCM is a module having four reactor chambers (RC1 to RC4). Processing such as plasma film forming processing is performed on a substrate in each reactor chamber. (ASM Patent application US20170278074A1)
"Across the board, customers are requiring increased throughput" said Tominori Yoshida, ASM's General Manager and Senior Vice President, Plasma Products Business Unit. "The XP8 QCM addresses advanced PEALD and PECVD films including silicon oxide for multiple patterning and silicon nitride for other applications, and relatively thick films where the tool's architecture enables higher throughput compared to other single-wafer configurations."

The new reactor architecture is well-suited for high-volume advanced-node memory and logic applications, which require the precise film control provided by the QCM's innovative reaction chamber. The QCM chambers use the same advanced reactor technology as ASM's original PEALD and PECVD XP8 Dual Chamber Modules (DCMs). Hundreds of these DCMs have been running for years in high-volume production globally at key logic, foundry and memory customers. This allows customers to easily transfer existing processes to the new QCM chamber. As a result, the XP8 QCM tool is already in high-volume manufacturing at multiple customers worldwide.

Furthermore, the XP8 architecture enables integrated processing using both DCMs and QCMs for flexibility and productivity optimization.

Friday, January 18, 2019

AIXTRON Provides Its Systems to Support San'an Optoelectronics' Expansion of ROY LED production


AIXTRON announced that the company will supply multiple AIX 2800G4-TM (IC2) cluster systems to San'an Optoelectronics. The Chinese chipmaker with its long-term plan for the rising market demands for fine pitch displays requires a capacity increase in red, orange and yellow LEDs (ROY LEDs). AIXTRON's AIX 2800G4-TM (IC2) tools will feature a configuration of 15x4 inches and are scheduled for shipment between 4Q18 and 2Q19. 
Source: Aixtron LINK


AIXTRON's automated Planetary Reactor® platform has been established as the tool of reference for arsenide-phosphide-based ROY LEDs due to its unique production performance and high manufacturing capacity (AIX 2800G4-TM system).

Wednesday, January 16, 2019

Come to Berlin for the EFDS ALD for Industry - 3rd Workshop and Tutorial, March 19-20, 2019

Including Industrial Exhibition and Practical ALD Show

A topical workshop with focus on industrialization and commercialization of ALD for current and emerging market

Atomic Layer Deposition (ALD) is used to deposit ultraconformal thin films with sub-nm film thickness control. The method is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. Today, ALD is a key technology in leading semiconductor technology and the field of application in other leading-edge industries is increasing rapidly. According to market estimates the equipment market alone is currently at an annual revenue of US$ 1.5-1.7 billion (2017) and it is expected to double in the next 4-5 years.

In a European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users.

The Event will focus on the current markets for ALD and addresses the applications in semiconductor industry, MEMS & Sensors, Battery Technology, Medical, Display, Lightning, Barriers and Photovoltaics.

Sunday, January 13, 2019

AIXTRON Provides Its AIX G5+ C to PlayNitride for Micro LED Production

AIXTRON announced that it has signed a Joint Collaboration Agreement with PlayNitride to accelerate technical and commercial breakthroughs of Micro LED. AIXTRON’s AIX G5+ C MOCVD system will be adopted by PlayNitride for the manufacturing of GaN-based (gallium nitride) Micro LEDs.

PlayNitride has presented Micro LED displays with high resolution and supplied its Micro LED chips to Samsung for the 75-inch Micro LED display demonstrated at CES 2019. By signing a joint collaboration agreement, AIXTRON and PlayNitride will join forces to technically and commercially enable the decisive step forward to unlock the potential markets.
 

Source: LEDinside LINK

Cree and STMicroelectronics Announce Multi-Year Silicon Carbide Wafer Supply Agreement


Cree, Inc. announces that it signed a multi-year agreement to produce and supply its Wolfspeed® silicon carbide (SiC) wafers to STMicroelectronics, a global semiconductor leader serving customers across the spectrum of electronics applications. The agreement governs the supply of a quarter billion dollars of Cree’s advanced 150mm silicon carbide bare and epitaxial wafers to STMicroelectronics during this period of extraordinary growth and demand for silicon carbide power devices.
 
Source: AZoSensorsLINK

AMD shows off 7nm next-gen chips at CES, aims at Intel and Nvidia

Advanced Micro Devices Inc on Wednesday unveiled its next generation smaller and power-efficient computer chip and a graphics processor, aiming at bigger rivals Intel Corp and Nvidia Corp.

 Source: Retuters LINK


Thursday, January 10, 2019

KLA-Tencor Corporation to Change Name to KLA Corporation to Represent the Company's Broader Scope and Optimistic Vision

MILPITAS, Calif., [Jan. 10, 2019] -- KLA-Tencor Corporation (NASDAQ: KLAC), the leading provider of advanced process control solutions, today announced that it will be changing its name to KLA Corporation. At the same time, the company is rebranding and adopting the tagline Keep Looking Ahead™, to indicate KLA’s optimistic view of technology’s impact on the world. 
 
“Our old name and identity have served us well since KLA and Tencor merged in 1997,” said Rick Wallace, president and CEO of KLA. “Our new, simplified name and more contemporary identity will better reflect and more effectively communicate our vision of the future to new employees and new customers—while retaining the strength of our industry-leading brand. Our new brand is designed to signify our confidence in technology’s ability to drive positive change in the world, lifting global society through many avenues including IoT, AI, and other technologies that connect us. KLA’s products and solutions play a key role in enabling these devices and experiences.” 

Leading Provider of Semiconductor Manufacturing Services Selects Veeco's AP300 to Support Aggressive Advanced Packaging Expansion

World’s Largest OSAT Provider Names AP300™ Its Preferred Lithography System Due to Veeco’s Industry-Leading Uptime and Process Performance

PLAINVIEW, New York, Jan. 8, 2019 — Veeco Instruments Inc. (Nasdaq: VECO) announced today that the world’s largest outsourced assembly and test (OSAT) provider has purchased multiple Veeco AP300™ lithography systems. Complementing the OSAT’s previously installed Veeco tools, the AP300 was selected based on its industry-leading uptime and performance with lower total cost of ownership (CoO). This purchase is illustrative of continued strong market demand for Veeco’s lithography systems, given the tools’ ability to handle next-generation advanced packaging process needs including copper (Cu) pillar, wafer-level packaging (WLP), fan-out WLP (FOWLP) and 3D integrated circuit developments.

The AP300 lithography stepper’s winning combination of overlay, resolution, sidewall profile performance and broadband flexibility enables highly automated, cost-effective manufacturing valued by foundries and OSATs for applications such as fan-in WLP, FOWLP, through-silicon via, silicon interposer solder and Cu pillar bumping. Given the strong market growth expected in mobile, IoT and artificial intelligence (AI) applications, OSATs seek to ensure they are competitively positioned to drive customer acquisition and retention. According to Yole, the equipment and materials market for FOWLP alone will expand at a compound annual growth rate (CAGR) of 43 percent to reach $694 million by 2021.

“Increased mobility, IoT, AI and deep learning are seeing strong growth in step with global megatrends, all of which are enabled by technologies like 3D integration and advanced packaging,” noted Peter Porshnev, Ph.D., senior vice president and general manager of Veeco’s Ultratech business unit. “As we grow our customer base, Veeco’s experienced team of technologists continues to work closely with OSATs and foundries to deliver industry-leading innovations that directly address their performance, yield and CoO challenges.”

About Veeco

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit www.veeco.com.

Sunday, January 6, 2019

Versum Materials report 1,000 CHEMGUARD® GEN III Systems sold

[Versum Materials Newsletter, LINK] An important milestone for the Versum Materials DS&S was realized recently with the sale and commissioning of the onethousandth (1,000) CHEMGUARD® Gen III high-purity, liquid delivery system since its introduction in 2016. The 1,000-plus units are now running in the latest high-volume semiconductor fabs globally with more than 200 molecules now approved for delivery. Built on a legacy of more than 30 years of supplying advanced materials and delivery systems to the worldwide semiconductor and electronics industries, Versum Material’s DS&S team continues to design and build safer, more reliable delivery equipment for high-purity gas and liquid distribution.
 
 
CHEMGUARD 500 is specifically designed for very low vapor pressure precursors. The patented, automa􀆟c solvent purge ensures molecule purity on some of the lowest vapor pressure molecules used in semiconductor manufacturing. A small sample of the molecules approved in a CG500 are: TDMAT, TDEAT, TAETO, TBTDET, TDEAH, TEMAH, TEMAHf, TEMAZr and TPOSL. (From the CHEMGUARD GEN III 500 DATA SHEET)

“The CHEMGUARD Gen III system has been very well received by Versum Materials major customers, especially with its built-in redundancies,” said Jeff Chung, DS&S Asia Sales Manager.

Nvidia may manufacture its 2020 GPUs using Samsung’s 7nm EUV process

According to a new report from a Japanese news source, Nvidia will manufacture its 2020 GPUs using Samsung’s 7nm EUV process. Nvidia is currently using TSMC’s 12nm process for its latest GPU cards. 
In a previous report by DigiTimes, Nvidia was rumored to use TSMC’s non-EUV 7nm process for its cards in 2019, but that may no longer be the case if Nvidia plans to use Samsung’s 7nm EUV process in 2020. It’s possible Nvidia could use TSMC’s 7nm process in 2019 for some of its products and Samsung’s 7nm EUV for others in 2020, but that may not make too much practical sense, as it would make its product line-up complicated to design.

Source: Tom´s Hardware  LINK
 -----------
By Abhishekkumar Thakur

Saturday, January 5, 2019

2018 Semiconductor Year in Review by Scotten Jones

Scotten Jones, IC Knowledge. has recently summarized 2018 in an article at SemiWiki. Reportedly, after six years of single digit percentage growth in the overall semiconductor market, 2017 saw almost 22% growth and 2018 year-to-date is up roughly 17% (based on numbers published by the world semiconductor trade statistics). 
The big growth driver the last two years has been surging memory prices driven by high bit demand and tight supply. With additional memory capacity coming on-line, memory supply is expected to ease in 2019 removing the biggest driver of growth. 
Apart from that leading edge logic goes down to three foundries, 10nm process delays at Intel, EUV's entry in the production, 3D NAND growth and DRAM scaling slowdown have become the influencing factors in industry's growth. 
"In spite of slower growth expected for 2019 the industry continues to move forward on technology scaling across all three major product segments. The long term outlook for the semiconductor market and underlying technologies remains strong."

Source: SemiWiki "2018 Semiconductor Year in Review" by Scotten Jones LINK

----------
By Abhishekkumar Thakur

South Korea continues bold investments in memory sector


South Korea is a global semiconductor powerhouse, with semiconductor output recording an increasing ratio of its total annual exports, surging from 12.6% in 2016 to 17.1% in 2017, and further to 21.2% in the first 11 months of 2018. The significant growth momentum has largely resulted from constant heavy investments by major players Samsung Electronics and SK Hynix in memory solutions development, technology upgrades and capacity expansions, as well as in foundry and even packaging process advancement.

In its latest major investment project, Samsung is building its second semiconductor plant in Pyeongtaek at a total cost of US$27.7 billion to make 3D NAND flash and DRAM, with investment and production scales double those of its first plant in the Korean city. After completion by mid-2019 with official run slated for the second half of the year, the new plant will have monthly capacity of 130,000 wafers for DRAM alone.

Continue reading: DIGITIMES LINK

Friday, January 4, 2019

Nanexas PharmaShell® patent approved in the United States

The US Patent Office has approved Nanexa's patent application for the PharmaShell® drug delivery platform.

Nanexa AB is a nanotechnology drug delivery company focusing on the development of PharmaShell®, which is a new and groundbreaking drug delivery system that is expected to have great potential in a number of medical indications. Within the framework of PharmaShell®, Nanexa has partnership agreements with among others, AstraZeneca.

The US Patent Office has approved Nanexa's patent application on January 1, 2019. The now-approved patent covers the product PharmaShell® as well as the method of its manufacture and formulation of PharmaShell® coated drugs. The approved patent has patent number US 10166198.
 

CEO David Westberg comments:

It is with great satisfaction that I can now conclude that the PharmaShell® patent is approved in the United States. We have always felt secure in our patent situation, but it still means a lot to get it confirmed by the US Patent Office. The US is our largest market and now that we have an approved patent, we can have a more interesting position in discussions with potential partners.

Source : Nanexa (in Swedish, LINK)

Wednesday, January 2, 2019

ASM International received a supplier excellence award from TSMC

[ASM International, LINK] ASM International N.V. (Euronext Amsterdam: ASM) has received a supplier excellence award as one of five equipment suppliers from TSMC for the performance and support of ASM's CVD equipment and technology during 2018. The award was presented to ASM by Dr. C.C. Wei, TSMC's Chief Executive Officer, at the TSMC Supply Chain Management Forum on December 6, 2018 in Taiwan.

The award was received by ASM in recognition of its CVD technology and performance in production at TSMC fabs. During the presentation, TSMC explained three points that contributed to the award to ASM.

1) Close engagement with TSMC and precursor suppliers to innovate process solutions.

2) Continued effort on cost and productivity improvement.

3) Exceptional manpower arrangement for delivery.



"We are very honored to receive this prestigious award from TSMC. On behalf of ASM, I would like to thank TSMC for this recognition," said Chuck del Prado, CEO and President of ASM International. "ASM strives to continuously advance our technology solutions and our partnership with TSMC is of strategic importance to ASM. We are very pleased that TSMC has benefited from the performance of our ALD and Epitaxy deposition tools in its production fabs."

TSMC is the world's largest semiconductor manufacturing foundry. TSMC holds the Supply Chain Management Forum annually to show appreciation for the support and contributions of their suppliers and to recognize outstanding equipment and materials suppliers.

BluGlass enters collaboration with global equipment leader, AIXTRON SE


Australian technology innovator, BluGlass Limited (ASX: BLG) has today announced that it will collaborate with global semiconductor equipment leader, AIXTRON SE (FSE: AIXA), to evaluate BluGlass’ unique remote plasma chemical vapour deposition (RPCVD) technology.

BluGlass has selected the AIX 2800G4-HT system for the scaling of RPCVD to mass production capacities. AIXTRON’s Planetary Reactor® delivers class leading semiconductor film uniformity due to its proprietary dual axis of wafer rotation during deposition. RPCVD technology enables low temperature deposition of III-V nitrides which could potentially improve the performance of devices. The first integration of RPCVD onto the AIX 2800G4-HT will be conducted at BluGlass’ Silverwater facility in Sydney, Australia.

BluGlass’ Managing Director Giles Bourne said today, “We are very pleased to have the support from AIXTRON for this major scaling project of our technology. This is an important step towards demonstrating the commercial viability of RPCVD in large scale manufacturing.”

AIXTRON’s Group Innovation Officer, Dr Ken Teo, adds, “At AIXTRON, we are constantly striving to bring novel technologies onto our platforms in order to provide our customers with advanced capabilities. We want to explore the potential of RPCVD technology for low temperature deposition of nitride layers which may open up new possibilities for opto/electronic devices; we look forward to working with BluGlass in integrating RPCVD and evaluating the technology”.
 
Source: BlueGlass LINK