Thursday, September 29, 2016

Samsung & LG Move To ALD for OLED encapsulation may push Applied Materials out of the market

Here is a recent follow up on the rumor that Samsung Electronics and LG Display will move to ALD Encapsulation (and drop PECVD) from Seeking Alpha (Summary below) : LINK
  • Samsung Electronics and LG Display have indicated a move to atomic layer deposition for encapsulation of flexible OLED displays.
  • Applied Materials makes competing equipment and the move by these OLED titans will eliminate the need for equipnent from Applied Materials.
  • Veeco may be the main beneficiary as Samsung has been developing its encapsulation process on Veeco equipment.

Friday, September 23, 2016

Rumor: Apple 2017 iPhone may have Samsung's new Flexible OLED made by ALD

Here are some unconfirmed information that ALD will be used in the next generation Apple iPhone as of 2017.In addition, Korea IT News is claiming that both Samsung and LG have finalized the R&D phase of introducing ALD barrier technology for OLED and are now working closely with Korean ALD OEMs to go to production. Companies mentioned are Jusung Engineering, WONIK IPS, AP System, and TES.

With Apple reportedly shifting to iPhones with OLED displays starting in 2017, it will be interesting to see if Apple will be using Samsung's latest OLED technology that may be ready for the 2017 anniversary iPhone. According to a new OLED report, Samsung Display and LG Display are working to introduce ALD (Atomic Layer Deposition) technology to flexible OLED thin-film encapsulation process. They both have worked closely with their equipment partners to get them up to speed. ALD technology will add an important component to OLED displays for smartphones that add protecting organic materials from oxygen and water that will increase the overall life of a display. Samsung in particular is reported to be "working fast to introduce ALD technology." 
LINK: http://www.patentlyapple.com/patently-apple/2016/09/apples-2017-iphone-may-use-samsungs-new-flexible-oled-atomic-layer-deposition-technology.html

Checking the Korean ALD companies on the stockmarket give no hint or reaction that any of them are about to sign big deals.



Last 6 months performance for an index based on Korean companies with ALD Technology that may be used for OLED Encapsulation. Tes Co Ltd, Jusung Engineering Co., Ltd., EugeneTechnology Co Ltd and Wonik IPS Co Ltd. (Plotted using Google Finance)

Wednesday, September 21, 2016

Applied Materials to grow in 3DNAND, Logic and Materials based patterning

Applied Materials Expects higher wafer fab equipment (WFE) spending driven by :
  • multi-year inflections including 3D NAND,10 and 7 nanometer logic and foundry, 
  • materials-based patterning
  • new factory investments in China Outlines plans to drive 50 percent growth in display business to $1.8 billion by FY2019
NEW YORK, Sept. 21, 2016 (GLOBE NEWSWIRE) -- At its 2016 Analyst Day, Applied Materials, Inc. detailed its innovation leadership strategy to drive sustainable growth and announced target non-GAAP adjusted earnings per share of $2.45 to $3.17 for fiscal 2019, with a midpoint of $2.80. This would represent compound earnings growth of approximately 17 percent over the next three years.

Tuesday, September 20, 2016

Jusung Engineering signs contract with LG Display worth 38.27 bln won

BRIEF : Jusung Engineering Co Ltd : Says it signs contract with LG Display Co., Ltd., to provide display manufacture equipment .Contract amount of 38.27 billion won. [REUTER]
 Jusung Engineering Display offering (LINK)

Monday, September 19, 2016

Sputtering targets market to surpass $540m reports TECHCET

TECHCET CA, the advisory service firm providing electronics materials information, has projected the 2016 global market for semiconductor sputtering targets to total $575m, growing almost 7% from 2015.
 
Commonly utilised for thin-film deposition, etching and analytical techniques in the electronics business, sputtering is a process whereby particles are deposited or sputtered from a solid target material onto a substrate.

Sunday, September 18, 2016

Applied Materials and A*STAR’s Institute of Microelectronics to Advance R&D in Fan-Out Wafer-Level Packaging

SINGAPORE, Sept. 19, 2016 (GLOBE NEWSWIRE) -- Applied Materials, Inc. and the Institute of Microelectronics (IME), a world-renowned research institute under the Agency for Science, Technology and Research (A*STAR), today announced a five-year extension of their research collaboration at the Centre of Excellence in Advanced Packaging in Singapore. The organizations will expand the scope of their R&D collaboration to focus on advancing Fan-Out Wafer-Level Packaging (FOWLP), a key technology inflection expected to help make chips and end-user devices smaller, faster and more power efficient.

With an anticipated additional S$188 million of combined investment, the Centre will expand to a second location at Fusionopolis 2, in addition to the existing facility at Singapore's Science Park II. The two facilities combined will span an area of approximately 1,700 square meters and be staffed by a team of close to 100 researchers, scientists and engineers. The Centre was built to develop new capabilities in advanced packaging through a full line of Applied Materials' Wafer-Level Packaging (WLP) processing equipment, and has successfully delivered advancements in semiconductor hardware, process and device structures.

Thursday, September 15, 2016

GLOBALFOUNDRIES launches embedded MRAM on 22FDX platform

GLOBALFOUNDRIES today introduced a scalable, embedded magnetoresistive non-volatile memory technology (eMRAM) on its 22FDX platform, providing system designers with access to 1,000x faster write speeds and 1,000x more endurance than today’s non-volatile memory (NVM) offerings. 22FDX eMRAM also features the ability to retain data through 260°C solder reflow, industrial temperature operation, while maintaining an industry-leading eMRAM bitcell size.

GLOBALFOUNDRIES’ eMRAM will be offered initially on its 22FDX platform, which leverages the industry’s first 22nm fully-depleted silicon-on-insulator (FD-SOI) technology. This versatile eMRAM technology is designed for both code storage (flash) and working memory (SRAM) to enable ultra-efficient memory sub-systems that can be power cycled without any energy or performance penalty. The power efficiency of FDX and eMRAM, coupled with the available RF connectivity IP, makes 22FDX an ideal platform for battery-powered IoT products and automotive MCUs.

GLOBALFOUNDRIES details 7nm FinFET Technology Offering

The table below was recently published on SemiWiki and today Globalfoundries announced their 7 nm time line in a press release below. Judging by the comparison TSMC is now in lead of Moore´s Law trailed by Intel, Samsung and Globalfoundries.

"The platform is based on an industry-standard FinFET transistor architecture and optical lithography, with EUV compatibility at key levels." This means that EUV may be inserted in some BEOL metallization layers and the rest of the patterning like the complete fronte end FinFET will be made by ALD multiple patterning... oh yeah and some etch and immersion lithography in between.

CompanyCurrent20162017201820192020
Global Foundries16.6nmNANA9.5nmNANA
Intel13.4nmNA9.5nmNANA6.7nm
Samsung16.6nm12.0nmNA8.4nmNANA
TSMC18.3nm11.3nm8.2nmNA5.2nmNA


Standard Node Value by Year (edited on 9/15) according to SemiWiki (LINK)



Santa Clara, Calif., September 15, 2016 – GLOBALFOUNDRIES today announced plans to deliver a new leading-edge 7nm FinFET semiconductor technology that will offer the ultimate in performance for the next era of computing applications. This technology provides more processing power for data centers, networking, premium mobile processors, and deep learning applications.



GLOBALFOUNDRIES’ new 7nm FinFET technology is expected to deliver more than twice the logic density and a 30 percent performance boost compared to today’s 16/14nm foundry FinFET offerings. The platform is based on an industry-standard FinFET transistor architecture and optical lithography, with EUV compatibility at key levels. This approach will accelerate the production ramp through significant re-use of tools and processes from the company’s 14nm FinFET technology, which is currently in volume production at its Fab 8 campus in Saratoga County, N.Y. GLOBALFOUNDRIES plans to make an additional mutli-billion dollar investment in Fab 8 to enable development and production for 7nm FinFET.

Wednesday, September 14, 2016

CMP Pad & Slurry Revenues to Reach $2.09B

SAN DIEGO, Sept. 14, 2016 /PRNewswire-iReach/ -- TECHCET CA—the advisory service firm providing electronics materials information—today announced that the chemical-mechanical planarization (CMP) slurry market is anticipated to grow almost 8% to total $1.36B US for 2016, up from $1.26B in 2015. The CMP pad market is expected to grow over 6.5% to total $730M US for 2016, up from $685M in 2015. The outlook for the next 5 years shows a growth rate of 4% to 6% for CMP consumables, with a near-term increase due to an increase in Capex spending in 2H2016. As detailed in the 2016 Critical Materials Report on CMP Consumables by TECHCET, CMP market growth exceeds growth in fab wafer starts because advanced IC devices require significantly more CMP processing.

 
The slurry market will experience a flurry of competitive activity this fall – due in large part to Cabot Microelectronic Corp.'s first tungsten slurry patent expiring this year. TECHCET anticipates active competition in the legacy tungsten slurry market featuring improved price and delivery, as other companies attempt to interest fabs in second source suppliers.

Tuesday, September 13, 2016

Voluntary Public Takeover Offer by Grand Chip Investment GmbH for AIXTRON SE: Grand Chip Investment GmbH Announces Fulfillment of an Offer Condition

FRANKFURT, Germany, Sept. 13, 2016 /CNW/ - On July 29, 2016, Grand Chip Investment GmbH, with registered office in Frankfurt am Main, Germany ("Bidder"), published the offer document (the "Offer Document") for its voluntary public takeover offer (the "Takeover Offer") to the shareholders of AIXTRON SE (NASDAQ: AIXG), with registered office in Herzogenrath, Germany ("AIXTRON"), for the acquisition of their no-par value registered shares in AIXTRON (collectively, "AIXTRON Shares"), including all AIXTRON Shares represented by American Depositary Shares ("ADSs"), at the price of EUR 6.00 per tendered AIXTRON Share in cash. The acceptance period for the Takeover Offer expires on October 7, 2016, 24:00 hrs local time Frankfurt am Main, Germany ("Frankfurt Time")/6:00 p.m. local time New York, United States ("New York Time"), unless extended pursuant to the applicable rules under the German Securities Acquisition and Takeover Act.
Full Press release : LINK

Monday, September 12, 2016

Linde, Praxair end $60 billion merger talks

Accordring to Reuters, German industrial gases group Linde  and U.S. rival Praxair  have ended talks to create a $60-billion-plus market leader, they said on Monday, after failing to agree where to locate key activities and who would run the business

Additional sources:


Praxair and Linde Call Off $60 Billion Merger Talks
Wall Street Journal
Merger talks between Linde and Praxair had propelled the shares of both companies because the move would have resulted in considerable ...
Linde, Praxair end $60 billion merger talks
Reuters
Praxair and Linde end $60bn merger talks
Financial Times
Praxair, Inc. and Linde AG Terminate Preliminary Talks about a ...
Highly Cited-Business Wire (press release)
Industrial gas makers Linde, Praxair abandon merger talks
International-seattlepi.com
Linde, Praxair Said to End Talks on Concern Over Munich Role
Opinion-Bloomberg

Leading Chinese Led Manufacturer Orders Veeco MOCVD Systems for High Volume Production

Plainview, NY -- 09/12/16 -- Veeco Instruments Inc. (NASDAQ: VECO) announced today that HC SemiTek Corporation, one of China's leading solid state lighting manufacturers, has ordered multiple TurboDisc® EPIK™ 700 GaN (Gallium Nitride) Metal Organic Chemical Vapor Deposition (MOCVD) Systems and the TurboDisc K475i™ As/P (Arsenic Phosphide) MOCVD System for production of light emitting diodes (LEDs). 
 
TurboDisc EPIK 700 GaN MOCVD System for LED Production (www.veeco.com)
 

According to HC SemiTek, the systems were ordered based on the award-winning EPIK platform and their own experience with other Veeco MOCVD reactors, including the TurboDisc MaxBright®, K465i™ and K475™ MOCVD systems. The EPIK and K475i systems will be installed to meet market demand driven by the need for high performance LEDs in Lighting and fine-pitch displays.

"Veeco's track record of introducing industry-leading MOCVD technology and the seamless process transfer between legacy and new Veeco platforms made this an easy decision," said Dr. Rong Liu, President of HC SemiTek. "Adding the EPIK and K475i systems to our production fleet ensures we can achieve the most optimal device performance while lowering our cost of ownership to accelerate our company's growth objectives."

Introduced in 2014, the EPIK 700 MOCVD system is the LED industry's highest productivity system for blue/green LEDs. The K475i system, introduced earlier this year, can be used to make red, orange and yellow LEDs, as well as multi-junction III-V solar cells, laser diodes and transistors. Based on Veeco's proven TurboDisc technology and the proprietary Uniform FlowFlange™, Veeco MOCVD systems enable customers to achieve a cost per wafer savings of up to 20 percent compared to previous MOCVD systems through improved wafer uniformity, reduced operating expenses and increased productivity.

"HC SemiTek, a leader in the Chinese LED market, has long been a valuable and important customer to Veeco," said William J. Miller, Ph.D., President of Veeco. "Our entire suite of TurboDisc-based MOCVD systems, including the EPIK and K475i, features higher yields and lower cost of ownership by providing excellent uniformity, higher productivity, proven automation and improved footprint efficiency. These advancements significantly improve the cost per wafer for our customers."

About HC SemiTek Corporation

HC SemiTek Corporation, formerly Wuhan HC SemiTek Co., Ltd., is a leading LED chip supplier based in Wuhan, China, and traded publicly on the Shenzhen Stock Exchange. HC SemiTek is committed to R&D, production and sales of high-quality LED chips, including full-spectrum visible light LEDs. Currently, they serve clients worldwide, and their products have been successfully applied to a number of key projects. More information can be found at http://www.hcsemitek.com

Major memory manufacturer qualifies AIXTRON’s QXP-8300 mini-batch ALD system

AIXTRON reaches important milestone for its silicon semiconductor manufacturing technology

AIXTRON SE (FSE: AIXA; NASDAQ: AIXG), a worldwide leading provider of advanced deposition equipment to the semiconductor industry, announced today that a major memory manufacturer has qualified its


 QXP-8300 Atomic Layer Deposition (ALD) mini-batch system (www.aixtron.com)

QXP-8300 Atomic Layer Deposition (ALD) mini-batch system suitable for high-k oxide films in various advanced memory applications including 3D structure devices. 

“We are delighted that our customer has completed the evaluation of our QXP-8300 ALD system for the manufacturing of the most advanced high performance memory devices. The QXP-8300 ALD system enables the manufacturing of advanced films with excellent electrical and device properties. AIXTRON is looking forward to further support its customer’s memory development plans by providing the production equipment to address the challenges of a rapidly evolving industry,” says Bill Bentinck, Vice President and General Manager of AIXTRON Inc., USA. 

As the semiconductor memory cell size continues to be scaled down, manufacturers need advanced technologies for the deposition of precise layers of dielectric, metal and non-volatile memory materials. AIXTRON’s QXP-8300 ALD system includes the patented TriJet vaporizer technology integrated with the unique close coupled showerhead design that enables the use of low vapor pressure precursors as needed in making higher-k dielectrics and metal nitrides for the performance improvement.

Friday, September 9, 2016

Air Products Approves Separation of Versum Materials

LEHIGH VALLEY, Pa., Sept. 9, 2016 /PRNewswire/ -- Air Products (NYSE: APD) today announced that its Board of Directors has approved the completion of the previously announced separation of Air Products' Electronic Materials Division from the remaining businesses of Air Products to form Versum Materials, Inc. ("Versum").

Transaction Information
The Board of Directors of Air Products today declared a pro rata dividend of all the outstanding common stock of Versum. This dividend is expected to be paid on October 1, 2016 (the "distribution date") to Air Products stockholders of record as of the close of business on September 21, 2016 (the "record date"). The dividend remains subject to the satisfaction of the conditions described in Versum's previously filed Registration Statement on Form 10. Air Products may also cancel the dividend if, at any time prior to the dividend, the Air Products Board of Directors determines, in its sole discretion, that it is inadvisable to effect the dividend.

Thursday, September 8, 2016

ALD News Blog - BALD Engineering, 8 September 2016

ALD News Blog - BALD Engineering


  • Harvard University ALD-prepared TiO2 nanofin planar lens for high res imaging
  • Fab Equipment Spending Ascending according to SEMI
  • Beneq to sponsor & exhibit at Euro CVD - Baltic ALD 2017
  • Modular flow and Plasma Electronic from Germany to Exhibit at EuroCVD - Baltic ALD 2017
  • Atomic layer deposition for two-dimensional materials (ALDfor2D) workshop
  • Lam Research - New Atomic Layer Etching Capability Enables Continued Device Scaling 

Fab Equipment Spending Ascending according to SEMI

The Fab Equipment Spending is ascending according to a report that
SEMI’s Industry Research and Statistics group has published its August
update of the World Fab Forecast report.



Fab Equipment Spending by Quarter (SEMI)

The largest growth drivers according to the reporty are 3D NAND and Logic
10nm/7nm for mobile devices and future IoT devices - obviously fully loaded with numerous ALD process steps!

Continue here for the full story.

GLOBALFOUNDRIES Extends FDX™ to 12nm FD-SOI in Fab1 Dresden Germany

GLOBALFOUNDRIES unveiled a new 12nm FD-SOI semiconductor technology, extending its leadership position by offering the industry’s first multi-node FD-SOI roadmap. Building on the success of its 22FDX™ offering, the company’s next-generation 12FDX™ platform is designed to enable the intelligent systems of tomorrow across a range of applications, from mobile computing and 5G connectivity to artificial intelligence and autonomous vehicles.

 Globalfoundries Fab1 in Dresden Germany (Wikipedia)

Press release :

EE Times: 

Tuesday, September 6, 2016

Monday, September 5, 2016

Applied Materials and Lam Research - Head to head comparasion

Here is an interesting head to head comparison by Seeking Alpha between the two leading Equipment Manufacturers in Semiconductor industry - Applied Materials and Lam Research. Neither have until now considering the opportunities and resources that they had been that successful in ALD.

The big mystery  - Applied Materials is actually dominating much of all : Epi, PVD, Implant, CMP, RTP and CD-SEM businesses, but has only like 2% of the ALD Market and Etch is also not looking that good. So it will be interesting to follow how the new Olympia platform takes on the competition.

Most inserting, while the OEM market a sa whole is concentrated into the Top 5 players (Applied Materials, Lam Research, ASML, Tokyo Electron and KLA-Tencor) that all together has a market share of  >70% ALD ist totally different. Here the sub Top 5 players constitute >65% of the market (ASMI, Kokusai, Jusung, Aixtron, Picosun, Ultratec, Beneq, Oxford Instruments, NCD and others).

Table 1 - AMAT and LRCX Shares for Etch and Deposition (PECVD)
Etch ($M) 2012 Revenues Share 2015 Revenues Share
Applied Materials 395 10% 1,130 18%
Lam Research 1,745 45% 3,250 52%
Total Market 3,840 6,250
PECVD ($M) 2012 Revenues Share 2015 Revenues Share
Applied Materials 700 55% 1,070 57%
Lam Research 430 34% 600 32%
Total Market 1,275 1,880
Total Etch-Deposition 2012 Revenues Share 2015 Revenues Share
Applied Materials 1,095 21% 2,200 27%
Lam Research 2,175 43% 3,850 47%
Total Market 5,115 8,130
Source: The Information Network (theinformationnet.com)


Wonik IPS signs contract with Samsung Electronics worth 24 bln won

Wonik IPS Co Ltd  : Says it signs contract with Samsung Electronics Co,.Ltd, to provide semiconductor manufacture equipment .Contract amount of 24 billion won. [REUTERS]

Sunday, September 4, 2016

Tower and SMIC take market share from market leader TSMC

EE Times : Tower Semiconductor and SMIC will benefit the most from a 9 percent jump in the size of the pure-play foundry market in 2016, and take market share from market leader TSMC, says IC Insights.


Sentiment for Amtech Systems Inc (NASDAQ:ASYS)

Amtech Systems Inc (NASDAQ:ASYS) institutional sentiment decreased to 0.6 in Q2 2016. Its down -0.07, from 0.67 in 2016Q1. The ratio dived, as 9 investment managers increased or started new equity positions, while 15 sold and decreased stakes in Amtech Systems Inc. The investment managers in our partner’s database now hold: 5.56 million shares, down from 7.04 million shares in 2016Q1. Also, the number of investment managers holding Amtech Systems Inc in their top 10 equity positions was flat from 1 to 1 for the same number . Sold All: 4 Reduced: 11 Increased: 6 New Position: 3. 
 

Friday, September 2, 2016

ALD is moving into the conservative automobile chip production

ALD will be moving in to the somewhat conservative automobile chip market. Earlier reports from Audi and Volvo have already indicated this. Now EW reports :  Renesas and TSMC have collaborated closely on MCUs with on-chip flash memory since the 90nm technology generation.
Four years after working together on 40nm MCU platform and production, the two companies are now extending their collaboration to develop 28nm MCUs.

“By leveraging TSMC’s 28nm high-performance, energy-efficient technology, we believe we can showcase how best we optimize one of our advanced technologies to meet the demands and innovation for the next generation of automotive devices,” says TSMC’s Dr. BJ Woo. 

[TSMC high performance technology uses ALD HKMG stack]

Thursday, September 1, 2016

Tech stocks for your portfolio: Lam Research Corporation (LRCX)

Lam Research Corporation (LRCX) recently recorded 0.37 percent change and currently at $93.32 is 54.25 percent away from its 52-week low and down -2.56 percent versus its peak. It has a past 5-day performance of 0.68 percent and trades at an average volume of 2.23M shares. The stock has a 1-month performance of 4 percent and is 18.41 percent year-to-date as of the recent close. There were about 159.63M shares outstanding which made its market cap $14.9B. The share price is currently 1.52 percent versus its SMA20, 4.94 percent versus its SMA50, and 17.49 percent versus its SMA200.

On August 09, 2016 Lam Research Corp. (LRCX) introduced an atomic layer deposition (ALD) process for depositing low-fluorine-content tungsten films, the latest addition to its industry-leading ALTUS® family of products. With the industry’s first low-fluorine tungsten (LFW) ALD process, the ALTUS Max E Series addresses memory chipmakers’ key challenges and enables the continued scaling of 3D NAND and DRAM devices. Building on Lam’s market-leading product portfolio for memory applications, the new system is gaining market traction worldwide, winning production positions at leading 3D NAND and DRAM manufacturers and placement at multiple R&D sites.

Lam Research Corporation Declares Quarterly Dividend

Lam Research Corporation Declares Quarterly Dividend: FREMONT, CA--(Marketwired - September 01, 2016) - Lam Research Corporation (NASDAQ: LRCX) today announced that its Board of Directors has approved a quarterly dividend of $0.30 per share of common stock. On an annualized basis, this will return approximately $192 million to stockholders based on shares outstanding as of June...

Air Products’ Electronic Materials Division Spin-off Company, Versum Materials, Announces Board of Directors

September 01, 2016 Lehigh Valley, Pa. Versum Materials, Inc., the planned Electronic Materials Division spin-off company from Air Products (NYSE: APD), today announced the proposed members of its Board of Directors. The Versum Board is expected to include:


Seifi Ghasemi, Versum’s non-executive Chairman, who also remains Air Products’ chairman, president and chief executive officer;
Jacques Croisetiere, who brings extensive experience as the former chief financial officer of Rohm & Haas Company and Bacardi Limited;
Guillermo Novo, who will be the president and chief executive officer of Versum, and who has more than 30 years in the specialty chemicals and materials businesses with Rohm & Haas Company and The Dow Chemical Company;
Yi Hyon Paik, who has more than 26 years of technology and business experience in the electronic materials industry and has led the Electronic Materials business of Rohm & Haas Company;
Thomas J. Riordan, who has more than 30 years of experience in the specialty chemicals industry, including 15 years at Rockwood Holdings, Inc. as its chief legal and administrative officer;
Susan C. Schnabel, who has extensive experience in private equity investment, including with DLJ Merchant Partners, and is co-founder of aPriori Capital Partners; and
Ambassador Alejandro Wolff, who has more than 30 years of government service in the Department of State, including serving as the U.S. Ambassador to Chile.

Applied Materials Or Lam Research: Which Is The Better Stock?

As Published by Yahoo Financial: The semiconductor equipment segment has seen quite a bit of consolidation such that today there are just a handful of companies worth looking at. But this has led to some really big players such that further consolidation may be difficult due to anti-trust hurdles.

Earlier, Applied Material’s AMAT proposed acquisition of Tokyo Electron fell through on anti-competitive concerns and now there is a possibility that similar issues in China, Japan and Korea will work against the Lam Research LRCX-KLA-Tencor KLAC merger.Here is a brief analysis of the two market leaders in the backdrop of shrinking process nodes, 3D NAND production ramp up and an emerging Chinese semiconductor sector.

Applied Materials remains the market leader in wafer level manufacturing equipment according to Gartner with a 19.1% share. The company has been the market leader for as far back as I can remember and it continues to take share (growing 1.3% in a market that shrank 1.0% in 2015). But Lam Research has seen more remarkable growth of 24.7% in 2015 to end the year with a 14.3% share of the market. Lam has grown through acquisitions, most notably that of Novellus Systems in Jun 2012.